From 67c21949fc0e37dd092601a1228c29fc2a77f16f Mon Sep 17 00:00:00 2001 From: Zhengyu Peng Date: Tue, 30 Nov 2021 11:34:22 -0500 Subject: [PATCH] battery box --- mechanism/Battery_box_for_18650_cells.scad | 244 +++++++++++++++++++++ mechanism/Battery_box_for_18650_cells.stl | Bin 0 -> 244484 bytes 2 files changed, 244 insertions(+) create mode 100644 mechanism/Battery_box_for_18650_cells.scad create mode 100644 mechanism/Battery_box_for_18650_cells.stl diff --git a/mechanism/Battery_box_for_18650_cells.scad b/mechanism/Battery_box_for_18650_cells.scad new file mode 100644 index 0000000..bff5acb --- /dev/null +++ b/mechanism/Battery_box_for_18650_cells.scad @@ -0,0 +1,244 @@ +/* + * Variables for Thingiverse Customizer + * ================================================================== + * + */ + +// The number of cells in your battery holder +Cell_Count = 2; +// AA:50.5;18650:65 +Cell_Long = 65; +// AA:14.5;18650:18 +Cell_Wide = 18; +// 1:series connection; 2:parallel connection +Connection = 1; +// 1:yes; 2:no +mounting_flanges = 1; + +/* + * Library function: edge + * ================================================================== + * + * Used to make roundes edges on objects + * + */ +module edge(radius, height) +{ + difference() + { + translate([radius/2-0.5, radius/2-0.5, 0]) + cube([radius+1, radius+1, height], center = true); + + translate([radius, radius, 0]) + cylinder(h = height+1, r1 = radius, r2 = radius, center = true, $fn = 100); + } +} + +module battery_box(cells) +{ + difference() + { + union() + { + translate([0, 0, Cell_Wide*(4/3)/4]) + cube(size=[Cell_Long+7+7, Cell_Wide*cells, Cell_Wide*(4/3)/2], center=true); + + translate([Cell_Long/2+5.5, 0, Cell_Wide*(4/3)/2]) + cube(size=[3, Cell_Wide*cells, Cell_Wide*(4/3)], center=true); + + translate([-(Cell_Long/2+5.5), 0, Cell_Wide*(4/3)/2]) + cube(size=[3, Cell_Wide*cells, Cell_Wide*(4/3)], center=true); + + translate([-(Cell_Long/2+5-2), 0, Cell_Wide*0.8/2+Cell_Wide*(4/3)/4]) + cube(size=[2, Cell_Wide*cells, Cell_Wide*0.7], center=true); + + translate([(Cell_Long/2+5-2), 0, Cell_Wide*0.8/2+Cell_Wide*(4/3)/4]) + cube(size=[2, Cell_Wide*cells, Cell_Wide*0.7], center=true); + + // mounting flanges + if (mounting_flanges == 1) + { + translate([20, cells*Cell_Wide/2+4/2, 3/2]) + cube(size=[7, 4, 3], center=true); + + translate([20, cells*Cell_Wide/2+4, 3/2]) + cylinder(r=7/2, h=3, center=true, $fn = 60); + + translate([-20, cells*Cell_Wide/2+4/2, 3/2]) + cube(size=[7, 4, 3], center=true); + + translate([-20, cells*Cell_Wide/2+4, 3/2]) + cylinder(r=7/2, h=3, center=true, $fn = 60); + + translate([20, -(cells*Cell_Wide/2+4/2), 3/2]) + cube(size=[7, 4, 3], center=true); + + translate([20, -(cells*Cell_Wide/2+4), 3/2]) + cylinder(r=7/2, h=3, center=true, $fn = 60); + + translate([-20, -(cells*Cell_Wide/2+4/2), 3/2]) + cube(size=[7, 4, 3], center=true); + + translate([-20, -(cells*Cell_Wide/2+4), 3/2]) + cylinder(r=7/2, h=3, center=true, $fn = 60); + } + } + + if (mounting_flanges == 2) + { + + } + + for (i=[0:cells-1]) + { + // battery cradle + translate([0, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, Cell_Wide/2+10/2]) + rotate(90, [0, 1, 0]) + cylinder(r=Cell_Wide/2, h=Cell_Long+2+2, center=true, $fn = 100); + + // spring cut-out + translate([Cell_Long/2+3.5, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, Cell_Wide/2+10/2]) + cube(size=[1, 12, 12], center=true); + + translate([Cell_Long/2+3.5, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, Cell_Wide/2+10/2]) + cube(size=[1, 4, 30], center=true); + + translate([Cell_Long/2+3-1/2, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, Cell_Wide/2+10/2]) + cube(size=[1, 8, 12], center=true); + + translate([-(Cell_Long/2+3.5), -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, Cell_Wide/2+10/2]) + cube(size=[1, 12, 12], center=true); + + translate([-(Cell_Long/2+3.5), -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, Cell_Wide/2+10/2]) + cube(size=[1, 4, 30], center=true); + + translate([-(Cell_Long/2+3-1/2), -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, Cell_Wide/2+10/2]) + cube(size=[1, 8, 12], center=true); + + // solder flange cut-out + translate([(Cell_Long/2+5-6/2), -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, 3/2]) + cube(size=[6, 6, 3.1], center=true); + + translate([(Cell_Long/2)-1/2, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, 3/2]) + cylinder(r=6/2, h=3.1, center=true, $fn = Cell_Long); + + translate([-(Cell_Long/2+5-6/2), -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, 3/2]) + cube(size=[6, 6, 3.1], center=true); + + translate([-(Cell_Long/2)+1/2, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, 3/2]) + cylinder(r=6/2, h=3.1, center=true, $fn = Cell_Long); + + // polarity marking (+) + translate([20, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, 4/2+4.5]) + cube(size=[6, 2, 4], center=true); + + translate([20, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, 4/2+4.5]) + cube(size=[2, 6, 4], center=true); + + // polarity marking (-) + translate([-20, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*i, 4/2+4.5]) + cube(size=[6, 2, 4], center=true); + } + + if (cells>=2) + { + for (i=[0:cells-2]) + { + // bottom cut-out for cell connections + if (Connection == 1) + { + translate([0, -cells*Cell_Wide/2+Cell_Wide+Cell_Wide*i, 2.5/2]) + rotate(17, [0, 0, 1]) + cube(size=[Cell_Long, 2, 2.6], center=true); + } + + if (Connection == 2) + { + translate([Cell_Long/2+3, -cells*Cell_Wide/2+Cell_Wide+Cell_Wide*i, 2.5/2]) + rotate(90, [0, 0, 1]) + cube(size=[Cell_Wide, 2, 2.6], center=true); + + translate([-Cell_Long/2-3, -cells*Cell_Wide/2+Cell_Wide+Cell_Wide*i, 2.5/2]) + rotate(90, [0, 0, 1]) + cube(size=[Cell_Wide, 2, 2.6], center=true); + } + } + } + + // bottom cut-out for output wires + translate([Cell_Long/4, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*0, 2.5/2]) + cube(size=[Cell_Long/2, 2, 2.6], center=true); + + translate([3/2, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*0+1, 2.5/2]) + edge(4, 2.6); + + translate([3/2, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*0-1, 2.5/2]) + rotate(-90, [0, 0, 1]) + edge(4, 2.6); + + translate([-Cell_Long/4, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*(cells-1), 2.5/2]) + cube(size=[Cell_Long/2, 2, 2.6], center=true); + + translate([-3/2, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*(cells-1)+1, 2.5/2]) + rotate(90, [0, 0, 1]) + edge(4, 2.6); + + translate([-3/2, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*(cells-1)-1, 2.5/2]) + rotate(180, [0, 0, 1]) + edge(4, 2.6); + + // bottom polarity marking (+) + translate([7, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*0-4.5, 1.5/2]) + cube(size=[4, 1.5, 1.6], center=true); + + translate([7, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*0-4.5, 1.5/2]) + cube(size=[1.5, 4, 1.6], center=true); + + // bottom polarity marking (-) + translate([-7, -cells*Cell_Wide/2+Cell_Wide/2+Cell_Wide*(cells-1)+4.5, 1.5/2]) + cube(size=[4, 1.5, 1.6], center=true); + + // mounting holes + translate([20, cells*Cell_Wide/2+4, 3/2]) + cylinder(r=3.3/2, h=4, center=true, $fn = 30); + + translate([-20, cells*Cell_Wide/2+4, 3/2]) + cylinder(r=3.3/2, h=4, center=true, $fn = 30); + + translate([20, -(cells*Cell_Wide/2+4), 3/2]) + cylinder(r=3.3/2, h=4, center=true, $fn = 30); + + translate([-20, -(cells*Cell_Wide/2+4), 3/2]) + cylinder(r=3.3/2, h=4, center=true, $fn = 30); + + // bottom cut-out for output wire + translate([0, 0, 2.5/2]) + cube(size=[3, cells*Cell_Wide+5, 2.6], center=true); + + // cutout to ease battery removal + translate([0, 0, 20/2+10/2]) + rotate(90, [1, 0, 0]) + cylinder(r=20/2, h=cells*Cell_Wide+5, center=true, $fn = 100); + + // rounded corners on end plates + translate([0, -cells*Cell_Wide/2, Cell_Wide*(4/3)]) + rotate(90, [0, 1, 0]) + edge(4, Cell_Long+8+8+5); + + translate([0, cells*Cell_Wide/2, Cell_Wide*(4/3)]) + rotate(90, [0, 1, 0]) + rotate(-90, [0, 0, 1]) + edge(4, Cell_Long+8+8+5); + + translate([0, -cells*Cell_Wide/2, Cell_Wide*(4/3)*0.95-3]) + rotate(90, [0, 1, 0]) + edge(3, Cell_Long+4+4); + + translate([0, cells*Cell_Wide/2, Cell_Wide*(4/3)*0.95-3]) + rotate(90, [0, 1, 0]) + rotate(-90, [0, 0, 1]) + edge(3, Cell_Long+4+4); + } +} + +battery_box(Cell_Count); \ No newline at end of file diff --git a/mechanism/Battery_box_for_18650_cells.stl b/mechanism/Battery_box_for_18650_cells.stl new file mode 100644 index 0000000000000000000000000000000000000000..183034914b1f028360e405aec85827c1925a28c7 GIT binary patch literal 244484 zcmbrn3Ak-XRqwkDQDDmjTn~{bY!01Db1?|0@RGCU+B7O4M!}FP2nP&2u1JVM1%($U zcmg4iq?yY*2|Xn`}3m*M9ztc`#tRP<-s4D z4Tzi*6GtDpe0lYwu5J3I$~g&PpLWG%@6(^Z|4mmVCoyje(yma$H~z-FD=8N=v)Mik zTg@$=3Doc@U%%-n5jin|8ouMHKQ$n7PE4SNPx;y{2SmYPsQFf+3_lV1vUMIK4 zPbX%ok!u?{WnySmXuIcD+F)wCqIwjYhdt`?`Rlx- zx!bL8rS)KfbDAbVzvQC-QVpgv@wGb~YA!nJ)~dk-YflrP&${Njs=;(7_MLWS^Mza8 zMm3mV?P&t^Jum)_YA~IN`~6$noOSwbRf7rEo+dzl^{8*F2Gg0?H+y1p!cY9PYB0gt z(*)=PAHPyHn9jrp-*9sC;xGS9sRt3PJxzfA$2(k6>OpiSZt#f{n=`Mzy=pMQ+S3H+ zE9PHS4W={k#b^9#bK`s5Ni~>Y?P&t^C1+o%8cb*6gC9Sxx%@46Rt+Xtdzt`!=fj^@ z4W=`3usgPS{z-Q!*NO<%o+dzl_JRLet`*Ulc)+7>*F5sryQu~ftUXPD-v5e!Rt=^z z@#@u2HmBX~7gd7^)}AIn-~7cNR}H2!(R}g7&AT6YPt{<8wWkTt=N$K8)nGak`#yW! z<~r{>%VVt)-?|q(a3p)2I%c;U%ZC~=X?05ZgKo~A3CCu z^9l{nU)?&ihepnKy_n4ojA-P%LIdP6D`^b_jjTPT0V`>Jns3%)LR#WVTJ=C9Ycs)fHCV}0q#CRj_89NV6Sb13bD)v6 zgUQzlR^kmxJxDL?p&{O9C7xlRk+p-#8n6FRH1ZbIssRq-TkQvrmW^}5-1Zz(dpk+3x z8cb(G=9X)jnU-rs1Zz(dpk-EEt`*UlkQwhUUFbTAkJL_Plaw9C5Nec(rw$n+F(*6i}`0rx#h z38t%ki!lRRvEFwdbGg*1T*FF8pk0}IXQS6T)0@q-9u^;rj%2D8=&N6O6SMk32$cP^ zzjTZenV!->o!<8DTPVSFwQs%VZpE|7WA1->cg7=q9lkPBb6EL&5M#KQ*C1TxiE1zT z+AMAs`)Y|H?aeFqvgazKi`-M>HSy^=Yt^RPFc!YN_l|7 z9?u%~(1H)5ZIE2`~M|@2&3rkPjaLC9?)y zq}u(G@|&b4fgm_)K^T)S32hDb-8vfBV({yl$iQIA|-)$Vo=5 zb!FS*2Sht&#WcdR*}*T~X!WLx9;6yFO4U_)#LH;1?gDsx(IBE7vtk ze?I5PCqDT{s^O(Vyo|!?E}6#{4I9HoP5RH!-${FnD7+j z#k7Zu#+yF*C#&xrc!X*=ft+}i+rx;T&6w~MSHB(o~5$3U$hY>%U zG2to5i)jxR4LpB%sz5t|a2eJ3xUG!gN<0&6#k7Zu2HGuJBY5u(5N3bw@dKhAvtks|@kN7(cFc-tgl99fDYO)X z-BTmXzSiT51`+L;71IbyOO$>Vy(hx%84zZ#=3&HV!c(Bmw1-Qn(08Cm0PU?EVQzgM zM*M8Xgr^`crafFV?CLH+GpK~8ATOppTr{jV(C4G{1_!hr z+`>IvG??&|k{5cJLVHdn-aNIo5^wFu3;vwX7x}YNdjloLte7sPvN=xCka-Qx`yd6Q z7e1Hc=YVE2CdRCoE*jPkss?&BoLfQ)`aaLs<4et%7_(x!XxNBMHT z^qe>Yi4@M)E%BATRdL9xfV8cnb1j+QUV|M*7K%A}{vN9xfV8cnb1z9}FuG7Y$qe z&}-!$4p!_xJbp-wSuu@pT?0@JZ;6QKXTsx)hOGf8F=oXy!a5?`?_+B547&Sm8Zzpu#F!P+2e5r?w`YJJI#Wcb?B3sZp!(f*kHu9v^9sW#s3arGRSK7mf*Adx*<_3ck39ykT zt)7O+gr~qtp6yC|81XtHThJQ7;1mjMWQ0>sz+}QxU?tC8r9F&z9g!_Cu0~iqz~F=k z;^k?sr&BWFDSg^=LcEU17PQV#%PUW?o)ChKhs!;eQC}rI1y)`sSa}%nIwD)pJZf;d z2{zsmi-wH)D&Z-x68}+Y4QTGA5< zNMT>=@kN7)F)OBvhCCblG0(woGFs9T2?%q(9$z$=7_(v;VO=lT@9QPfP4SBWtzrV*aar0+Q1_i8uE-UFki!O-Wwn<_M9Fr8ccXf zpZ1)JhKv^$So^_m?x~R%_W&L)8ccXfpZ1)JhP0^#M*H~9JvH)T&*|Z!!Gx#uY0s%> zNI$#qJumlgNMZls@kN7)F)OBvhV&i#u?~RWyd@&c&xFSp4JO8{m_}H4MsYuMyo;;r z=U#C|ads3o9xfVoKa}tkSTXHk#MftVAwlde>6s$LqviA2A3tl9h*2x15w0VER4SAg ztrF)`VdLRa4;k@cl%a&Dz{=|cD-R=HR}IidX+7YPaRw6c=o$E|qMwaS#Hbb12#aSZ z?MgM!N^m|A@n}nYj?m97CSufzX@qqz75#^5pf|voJH*3l^Vv8*i&_^~aH&+ti=TN97Y!T3Dd8!|iEHa&#MfDodLPUh z{GA5&oE|P3cw#Y*1MMjYGwor-*Ex<7=)L^i47T!c(XcsADiv~K+QW#K8D!~el|aww z@0hTahl>Uio`Rg1_Auh>8i4QP)OTVqL&AGAu<>xwur&ZBJOx&m*Z3PX9!7kfNBLU# zO8NmkVa8S-E*dtEN~J1!VNT`e(@MC++iU`@r1d@nDemWyugBZ9Dl-;sS7TO8Bdn|E zn5(IV_Zf(HAB=oGzG&E7O*O`>m`1p+`>2NdbHsaJf_y!`XxO@sYK&Pijc}bCsD}G< z#IvvU_@ZHR1JxL_VjAJP`k{n-ION6Nz{5pDMtoRdQNmM@6Vo0>d|d<3=fhhf^5Pcm z;i6${0O^TBPE30k@#S-soc)l0QnKyc3m^2%?qip}LuU2!-H%;*Mt9+Z-YMa23WEtE zTQMEs9IFwqiQMAnqvVKYsr`Yw-@-yPx{{x!t3VdX?7Wv8!{t zi;jA=)+551nD0hPrX!~wu@foVJ3-GWoQZ73bc8`%DC=-n-0Wm+SO2+qN_Wy#7i&Fk z_{r0|w{Mkj#Ajl@8&=!9VYN;1Jz|Hfc8I3~$x zoO%R3&)Za|IfXNktwMvJe-QF)tmGLHZ@s;H(pAstu6@Ou^nSd3>p9&sfA1|4-rkLH zCgzlq>By-^>_p1;PUJhMa3-=9(-8*odO6$o^iwdpl&A9v=X6j0!t1mi7p>3k-u!_# zXgwmFiTQ4%WIA%{5j&Bxy%Y4D!kNfcOh*{RXJyUuJXyO$Jzn*_bGmzf>pZPTSaAt6 zA^xh?Jkya=kJyP6@kEst?^DB>$W}~87{oqV_xbR#`?Mb9&v(Cc%DLV3zW*A%9}&*P zJXtXv;T}Q##P&|)JEw3avQ^~E{R#-Ii#}RrtGFL{Cq~BLdOxC<;T|p%bLu%W9Xa)g zok-cHK5vM%}}9anSjHQzn`c2DarKKi24#%iCK3ASQ-o9G@vz1Q|m zXmD?r3AWm+-VrVP74Lsycf%)?aKvX~z8h9d zZ&Q4a*onNhcZjC=Ot96QtmZ_6_~s)YapbVfq49j&=zEXrzI4h;>k)c$iqFKHYR)v* zsYmRD#x|8|o8mLUR&%oAI)OOuJ?r<%m{;rZH%Fh`ed_C_9)JA9kL@12D&dIF#C$ib zws*s7o8o)K4q5FGP4Su7CaXDF%_$zl8RfkOtw%Z0w$}R*dUNq(IO&G?Ow3c+!;0(F zBg9{MUfWcvZHmtXTg}Oe>jXl4)k=Jp)`P|gG^gSjQYPlfis=aV2pWHE)0}Ef;Y?(! z$d|_}AU-E&wolXjiESEX%xSE}Bd1JcD;i}`PCbIgAKNt6no~Fv*(x-6yaM7yaxVO@ z9`%U9xa7kRIk$VvV_vImEJgs2Klep%d#Ml)H-dWP-5_|30*%)R@mr6C#ynXu9pPN6 z`3~hvqnbH|vql~P1kGcHSN;17?;7>U8c~w%o`%f-SF#SEZ8!S9IrTW)qoPznuod^O z++Xzw>LItO$Kf6|6Kuu(EB99*WS+K?`I^=v`aWK5;T|;;Y{mU+e-$S*xQFD`7Vc5A z23s-RU&VR-DQ`ORD%~&Q9+KzF+@nGx2)1IHXW>19dPtrxbB~${w&MPk=g}apJa*ra zTk2j8_blAMa!-^Awqlz5-5x&}xL@La7X;S%%37b!=$B=!Pg};ato3Q@ zv2Z4`m8=?6I>J2ybA7YYmSOp7>lxL^R!m1&G+M0l`ExGg#HEi#<(Xglm^$K7svy(@ zn3X=;M(ZHU73}R87v<4AOh{mtuSVAn&PvDjv2fpWTj(<(7;;8qO4_T&$%pX8M=P4ENdCM zVqsxu1d**|ZK2W;?h)uy%}UoVEMFY~jA~>nrXvgu88a-(m_b)2mcD)wR$RYK=xD-A zg>gcqBith}W-u!qCwR@NewoNtOh;IV7VCW8f5;kpeYT}9S^8Wp;-L|Q_AF+_&%E{} z7N0fpQyUun=R7pDXYrC?<=;z)xf*F;ozLf-k)l0yedZ$`^$3Ekxa|DQqaH+%y7tud znV-^NEA0nsosws0@oe~7xU5YtY5jXi>*5xVdIX_s$Y!N$0A{6Y$QF-!5P>xSx5DbM z(-faIbPd@{LRPwlOd8TV@Av*()~T1i7B2JJrO%xs9vVStPj6P*ha0VZyTzj(L|`@B zt)$$J}!~@`O?<_!q4mI!{VV4gpOFeH^54PS#e(?KuZK>@oq)E zfsQ^#HFU&c8afs+D;=X)JTzqPY^PGV_tN>Y%x~&EntGfd*otYa`yDVkYjE$S^JTN* z-cAV2=)F{!FMCO-=hS(0XkhNVD064-?{vPr^!-TL?Xxg6g2+}fuk~IHYyH%#5rMh0 zrRaRw^3@sgs7AJ8I>IOwd@lSV=7X|>vGn~@*@;>DzEOlTp}Q~Dis=aV2<)+#hVH8v ztve|e&P29iI>I1iO@C3=^yj-WM_!gSeO<>F-(L19WWC+OnaEa5N4Q5|HfkEWj&J$u z%KfNDwqiQM(2zBNMOg#T`T4TU`*jxYdQ?9FTA9%=%8Y&+Yx>Kw^3Sxc^;^8mwy|ok zD60l+#Wd^XQt3S0OM>+PE1|9dSiG!EU`B5mx(48-B7$>*M$|*s0KDedU8r<~L0~*x z_Rn<}U|IIhx3M$6Ec@rWOK#yzWGmTesC0yT1oj8aO83kyU){|Z)yP&%M;ID7CG=?B z|A2=(BwpsQ{L?)T*TXJ{g)@<@n2vCd5D(`Xy653Fr}||gTQMDBAzJuD_$-t?=1#i9 zU&lca4~-z$ifJAPWeuKT>7GX&2Tf_P71O#GVl*`59Ke1*2M}W_U8Shwpom93f?z8i zxA8bAYw*ZRS1IZ^Xi9^vnAWunqoE=D2K#;AAjTp*PT&y^>JbE6@pwnqGGYWk8a!g* zaRQHUvIbi*&Ep3UnEzu|k3K5;R6P^meF^nlnaEa5N4Q5|J=*(l>aTQH-}Ew}CxN`@ zOmyS~0`oM?j8Kp0Q}rx{ubtrZg@rSbt(cB*kHEPF@11FVMo(XiYUqg#OW_`w`(S9u zIe031ct4(h=?v*e5qD-TU|1HqEIsk*%1HFbLT{UzGiG z?kBh(0LXqvb_ zu$o!T5s&atqi^L`8u5pNXu-x6MatmbG>K6AAiN~UB2S}_l~exr5^7i~w&D^Zo=OE& zK7OD3`Ih)84X+`rJYUXfyi`zkD-VNS%ReJpgo>4?^a*Gaq4ppyx$@lQ&t3LR(>NqQ zUE`39ADic-IwDU;p)bc29f3fQX#>#Pv@Fug^UxTff=J}x3qt-l9<~vNpjJ02?|N6zJFVDY4DOFf8-6wWG*)G3%;gE=& z`o!I2wf3*?@t1maStD}l6SLW=b%xFKrC)!_^1y45(3YtGjvtavq{Pn4c) zruU<0cz+H(#E%o+%fQNsV<(BeRnX%#FJ;Fy!SzADL6q=brLxl^dUdCWXjie;%d@bd zMi8{>Oe@8kh}eV7E5h0P@Ld~Uhc%5BccxsQC{?~z2jse~aZkz!xkacDp3=X%xY9%v zK<`0l#A@A0yJ`!d4B@?_NoHZgP6FjfY zM5JVbS8Fp7DVd1bYrh_mk_nzWXN^e7MC?cQH6kSwyg!&VA|(^NKbVO~$po)oWg=2C z5xao>dPGVlcx@?bL`o)Nhpw*?DVd1+5Rw{%i2Up8|!R0oZe&FL`XaCY%iVOg4#sLv(niKAv!6) ziIC^9v-3rC-ft5j&wOX6Ea+6=CPMs5XXmfdX?1-DsU^le7vI&{o0{p($!S9TV`qDJ z=^dx#zk+i1kFHH)+TSptCoI(i=Qgqs7z7R{R9ZsNbIEF%23`idUP_bB^$k zfbV0gIu@09c!?o_c2!4~X63#F^k(8zBHSPM2^rmYWe(u^q9pE#CPw;QnP+4oax#q; z<@3C}H;{QkqKnV(mrCX}U77uONy>;U=sqEHr>@MfG7&lT37LO%KD*<49y#@iXbtln zre!|Zm6_!xBCO^+GUx2d>~#|nRywbhyE%5xWwzbf{we0pW~KAHR#HUMW2ursM?lfZNaSOJF=G1l~s{VL|DytWWA&-D=(Xfu$u44noL(#clt!C zZGDT5&!qPWu1DlM-;tG~uB&hD7CL*lnJF+_1m378VL|DytWCgM-Ynhvfu$u44DyOs(te0*g!fL)F zE2&*slkF4S`uJ`}o9+{A75UD0WPP_QE5&_++Z8`uvP#vJ^BR4EH6q{nj;uO&W&OHO zu>WAc5}v3}utwyotLj}@Ro_I&in&?AKOT~PMbvZ;|GKhzqwRQKzp3%TOB4ngohfj;uUM5BP*#bSd^Wuq`gX>Wh66L z7i|>~B2rNEAYu*BN>zzS$waJ?_K8TzM68kaiAc#rtY!9zNXbO3k@ks5$po&#D+ymBVSS=3-kzu)(YMm)!{}Lg21f{_ z^z|Cin4dmrkhbfHs;nj6@C!D7J^WL@cls<8Z ze81Pdq+~;lL!w+t5CL(sg=et2~B4P)8z8mx=B6h&%yFqUvVh4P_8}ue3cEIPmL2n{r z2YkL8^d=&9z~{R`Zz5s`e7+m>CW4>Gp!-BTopKVa?oQ8lgYFajOz^W3bf1XlV7^P^ zpxvN15i|}WdJ{q8pxvO2Xz?@_Ut9OQcA1FM_BGh5j79Kl&v#=K)h8kaJ~8xS6xAmp zB@;1<8motUxUgcnuhF-1I>udnqKrSiU-D7de0M;!dT|H+YY>ssn1-#~$+bcc7kb4X z4+-uEPpw`%=poU!@}3j%2oDMGw{bU%v#j@1WJYYkx~iaC1Dz2(f}$SudR>5Qa#pF^@tWuyU26~c37$)Xn&Z_*={0e ze|R@`em4+D!!Q5AVj#?=xsBj208S%Y6+x>5_=ZsZY>JmqbKPeS%K9BqDO^6Liug5s}l7fNyty6*Z5X zHWLSgUYmbJP6!VP&Nu5#`NX=rB=xB4WvEj(P55l0Pt>)HUT@IP*L^VJXQTD-6m2D9 zP$>uE4E@ft&yc`6)9OSR@nOWh?GnWR@GXqhSVeWQs$UD$-ODhd6ckUbJ~eXMC8;j z73a%z>~i!qXwL&PNZ%R7JF2$F+Sgz!remL~uYuhcZ;4^W-;CMUU@N9$U$sxr{;50@ zp%;6eeIiou+yxPPo_!)xG7)>8eS&r>lSb@E_K8Tz8nGYQCn6;iu^-tdA|(^CAK543 zx@RJ;dY_2AG76Ty zL{5Ez&cG!ia_SRw1}+hiQ=f=aY`GqhQ=gzSa7iO_>JxOjEfJBE5%P%OlS{(%bnh&4 z8R^y5I=;$tgJUgzlLpgqN_P_xR{0zL2CD{jv_xll@vS;>em9MMxGjYhe=qN_R9y3D ziE$N%1g=RLi?SZVQ)6nZ>6H-@uR0G_gv$*qcd!y+HK*0#VW~ocSBGQWcM}m-I^KpB+=E)e!J2+sM22$?zc=M>l13$X z&Qb1jxtoLeMJvOSU?Otr6FfIyB68{zJU3t>ax$V^T=PDD zMVXHAJWTO7S^RI8)jz&x3JG;XA*VKtDa9}jcgSf zTi92trwwPb_se(u{_-R69lu+2CXLU)ZKd;YOk^t>ol#DxM`-B2tetz?!j7w*v(w$z zdghLaY!w-M6$W}~87}x52`8y{6kABA%mzPg`Zl%+oOk^u6Rj=lu!R6h;9<7}!)&1If z!jv_#RcLViP>(0c-~V{2{&l%6I)TaOH@DJRPA0My&0Z*{tf71HcFJ=LyXThZK*azZ^qL-+gbMC=xJ z^6fmW?&{aGwM=BI(AdJsfqJ%fHv6#r9h0LzjlW~U&oiHn<@D((5d?Gf}kyF;t6Evo=g_ACJ zo>ou2)U&m$k*y-%Eu6)vCuL`|AD6$IahZId9_m3edOD$=&$lzd=h&IvA{zAwjrne9 zY~j>{or2$@_)M@B(|Ts2o}r)3J}Tcy{)_sZ@YNIX_m|nf@=1I4W0}ZS>VxHa(GF5G%D z!B$Lf;TtyUyE3eyCy#91cnc?w%!*r2)?h29_1qF^NF7#E7kxgcU!t)VkGr4|1dpS* zx8(k@M^N9lMPn^Jt5)AiVU27RCFK4Q8aI}|gZ1d2$KS!?euCe};Bjy!vK93Py&fkt zxS!w=E02S-Mz#tK?pHvF&s~XM)cZl>Hkv{3yd)F+#u1MudHmQTXl%$c2%eW@B3nfX zdHe`sC4Zaa4E@_2+^V_n>y=?M1-I;YDgaCOu-s*$ZC-+ba%Uiy)_ptmcz&rARIB)QK^|6ZhsN2!9) z7H3x4mWd;&rquu9o5KIk#9b;t^LQM^dIW=Eidge+^?W7u`o)7QNH&$k&@|Lb0X;c zH=pd*USd=uTSdNHKa@)5&WkcX*U_teYn_fV>i5yfXu!gm$W}66u5^TZ1f9p{llD5w z7}dyDk#9cPuOpd78DHtB$nw%LRsEJcSt+tGN`+CSkH{h=)4AqE&|3n0{$EE?qZ-*N z^5y!WRI=`~DC<7DY9QVRZ{F%$q<#mXjQTB{iEJh7FqMvQkDzxj`0WavO^j+}tH?LM z&7m{;MOkOyZ;sS4WBpD@8J$@erIM8iBeIpu`+H^ahOSv#ug3jjCbCudF7|dk z0&_t3gVZPLs<$9e?40meOm zu8NpmCbCs%upa{UwBk1i#o1{2d7Onl(wFRvwJS~c3D)GppT|I1olCOD@|T=3st zR<9xxoKwl&HQ0*jzx~Sps%;@_eEXyq*Ve#ROlRWH|KbI;RWrdk@oMb(GQob3tseHf7cEct$Kvg# z3AVb^E8inm9e>Hb?{`^)t?vJVcQ2nRI-3c$dgB85AK8OotLvS2;d1{U;l$gfQnA(N z{^9NQK26u0tsZ>wTWedGCfJHScqZ8QWvl2*GBKOQedha*tN!~-^lbf_Gr?Bqan5<= z>qiLmEW5{_Zz-WSO|Zt0rrxAW-Ye}P6Wm>E&fot`Q=e~MCtkHr-cqAgUr z`1VQ9s?P!Y5B4i+TZsp+@i!pKv&IDHRC0F>wqp95S3JLtoU+E-ANP#v0oaP^OnlX-iU zn(pcUdw*Brua%!o4Zq|*3kwH@`P5B!<%IV-h;>6@ij;rkg81Oak6YjQ@aIQ}oD#$r zpYf~fOU}M@gvcpD+~5-@uCJJXb%e+%L45EHC$InU4p)p2IVFgFvnQ?(eEiB0BBum# zzkh4jUp?yEBScOKV&7?JuJ3vAcSeYu62#Z;aAw6m((3yo#0&rS^6ubAzPq03CkXhlaSxY?u=>)wF7Mv) zuxl-+k{|xhUX*TMj%kNQ- zfkq|Rx0_XiL@S|&@wZ+vcz7$!I;x5m#*7~LR+Y5eY=c6HNdT+!X>@Tb;@VS=^Y8b32( zbb}P8@we)mti+CK5B?qSD#WQ`amSlg}f zGZRKPNMRbk`=?z!@b|9nzI^Qm)`($(wcQ#&GhuXt6sGaJf7;b!UihEgC*JzLHDZ`x zZMVkHOc>oDg=zfmA3WzezFH%O^)R-(W@lI9k>;PQF+w+P=|8hZ&iC5xqkq_~-O_(n zqCpJTgZ11RKWnL)5*uSi)3f-JivP>#RchGaw>-+!QZ*V)|FtWbv4|(T0NzYK2Wwd7 zIGwEATYO2y|ELG74q3{2b^3GG5{D0ddVGn&|LscVIGwEgE5Z57T& zPS%hHM9zr`nF)8Yel;L+PC~SW%Fke?FP2=!YA!S3n!<#vk&!l+iV3!obvUZu6v0-q z)<@THieM{QPo(?AgsdCaXHC{A>+>k9!xK-GtW8VJ%k#_x=cEd4(P>v~#k8!wRv!~( zf`^k8^Xg^Tis?+qs(kfiOmI$0w1sO|Y{j&!Cs*&9HDs@#`d7AMIuo)VQN2DBoRbo5 z;o22jF)i!ja@8-8fBA#9i_H5z3O(K0kGcQl-5HO(rCmktccD?}tiio=rn#pMCf5UVj`tpO zYt_s26oDDU!3X}d5=@7E|5{-Nam}yYK?$a(35@O!-SEyzFg;CRtp31f-bD$frwNR_ zFMrG3lwf+Az&QG!+Fw+H>1hI^;@`OP9!fAhO<;_B)(;)01k=+5MwTyp?eR)5-6yUU zi?XuG1pE9Fg;D!xLpaRm1qlxQpJ;+pWrC% zw1#ky9@2#Lp{X^8^iNBBuml^MoL*XzdE~fpV^IHj{H1n9G{gn=wlrA#7}@ zDK=)*u#FQ(2phd>ikud~3|qrlFRoS{@2Zu}IR`;TOXIiM#y`V%4R8Q#d=wTOJ#Eo zC78|xmx@bbGu9|oTex@%pOuMr2 z`GCkdF=6BL0g-cJ!p7$VBIm?}jn4-}&WQ;dpAU$f6B9N*9}qbwCTx5@AaYJj*!X-v z~t*@Iv!oQ(L!4?TDf zf~{~e;z>V#!X#1V0BnVm5jVca_}n074Qz#z5wAJ*SN70gE1ZnD-um8q5Nw5$5#PMS zau0&7a5CaL?>K%Bf~{~e;@ywD=N<%G;bg>Vcl*UX2)4q>h({iKw>=29!pVr|pLCZ! z2)4q>h|Aw{=RF9v!pVpm-{Veu5Nw5$5oca~`#lJ@!pVpifB9$jAlM2gBTo2-5|1L9i80MttE`x7mYWE1ZnD=%`!oL9i80M%?Yzx7veXtHByTTfA1g;wLrF z;={-EJzV&xLa^^DBl13hxxtuKPotK~cJ-`=Oi#@wF#9R*$INCt2jsb-ma1y771Oqx z6<4E$wd(@l>w&FP)Gwq6JbZo`z0h!?IBPWUKv(0tNM9vH2&oaAb?M&Ee zlvqkTrxO=g*NT0rU}Ud|PCD2cnbNirrs1$B4`(T{lW!hlgy1s_=AG4w^|A(^NHEW- z1k;%?pP^P<67xzUrQ#C_<_Fb^^`M8e9@CA?sxg^D>$j=WG6IM1!rEX1%Oo zUQP32Vp@X<^F#wWYnWeBD<(LnOqiD$5S)_|ZQ-ilRf1{r7#g27%x7o{TQQvpdpZXM z=cGhixavifVA`Jlky4pA&=j^}I+x1))qvofa;Z39rp*VBl*-mAHHEF1&ZXkB5lnDS zxm0Y$w0Z53Qi)GoiXXHTed|KQ;uGUG95OSx@-OZ+&<9vJ-r5;abVb z*8`#^?Fv?Z_i#U32dnkEq+h#S{SS|4;y?cSJIh~q#NSjaCvr|gw1q0Aa;p)#DR%LH zB{aqIH7hNZg_*E?tCi?!IO{QiYt^$-BI_~n!2kE#%dO+zzeXJEO=~b=;igaHcmK4j z>;2BRmXH0$ht`N;f^%|f{LF;W4N{oK@BV35cRumT<&&TI4{O9Q!P;((pP4YaK?>9O z-9PQ>C6E8c@(9O-9PQ>Q|Ensx%;-ytP#TmYr8dmX2R$O zDNN&c|Fo;0zW&#iH+#q>Ys4_Y+HQ@XnJ~IR3e))AKRA>6)#Zzxcj+22Ot7|F<7Xy} zZjiz>e)o@@bUNWH%c~yz^)+IcU~RX?&rBHIAcblC?jJn07c}x)hZxqo!*O3;zWUkU zUL#&Vt^G))8ljtFC;zu4R7=&A-$TzdEWV`T|6D3A$-g|}a(VtsY1O{f|AokTg~riG zE?-{#sB4>I7ymu`8Z`25hQ&`2Y$a3*~q(Odk}0TtE!E>ueJxlRkIKGly^uG<#GmC) z_M#x%YLido(d12ce5@ts%MgxIwM7dWY{hhp)VN%gu)MY<-$UB_l$;t12Lpnwkgr=o z+rya%D~t_gOl|q2#gI+KB2t(oawA_v6RHi9&|3P5N)AQ54H-L_0+Z!IVA{; z6aVzzj*EI!BBum_QQ!Tq`+tuRIVA{;;qLYRUm78DN)Q;4{q1djA&63O?a#vsW2)_+ zzN=dOU<5`;PyEEsDZ#X5uRm~gLj&WTxBtSOlwf+Az}V-E-}+f4n4Tsu;`s0PysZ*U zPZOWh(aSz1n9hW(*5TVB)QV?~axMek4WaSV1Y613kLCDVLb8U4@5Ru(*h)^M;9D_9 zG?-v3IrXyiw+eEpWRAS_w}jYA&f?(vKt?o}U@Mt<<9l&N2$`SbTaq+|t?V0b_8{0w zRt@A@y?=y|l?i-5l%`}W{a&0Ag6|sN&Ac`cSIgUOSv3IRYoWO6>72endWIg~a$)Ld z`GuY)%JWgIaHTWtevC_ns~c|##nY8(CUC9dEukQCPE5!u7rtqR>QPFPb7DeP>+mf% z10v@nL|Z7ox+ULCAC&7hR&!aWt|?5&Y9wiMshD6ZS>>eqO%ZG*Yp-+-rwF!^6=Awh zOvstk`mD*?bbTIW{d(ewlC^NDd3j5S3C>9s+M?60*otXcJ+D3{%7odSoNujOhOL;+ zgzN%TPsRl2q(oadJyl%QnU;Nn>Rq#joLsK{m93c0gq*;wYkEv@PD-?e(^KA6ooU$% zk*j_|$N3|Swu_cnQrL=Vru0qLN-&KQx?fSEEnF#8q=X)>bn-rx$SFafEreew`Qv}D zM|sw=RnTD%VrW(9>DJC80Dd01-_06wMp&-Z1MIq^Bt@gdpKS5L5taqVu?L8AaYKuVJ%S!wzARr9vW%(=jInD@S<8w=i>o%=n`(D2M`oo7r&WQ;dd6C8i6!sQsL<=u!qe-$VgpCs|o(UVJ z4v3r+m&!(#N-%BX;Lwl~;iIP$&~s{vjgU2LvzHNqQ<$*XOAtjuG;GGADQv}bDNaH3 z?PZKhlwjIsFC!W@W6>10VmfQE71K7~360iE#VJhKd}oB<+Hy&3-WEiWuvSvOlUnX& z{jTcSjPIry>ks%{Jlmx$ajS&hX3g@(-s)GGT#9Y0unWrScWrddzzC4YSS8j-KfEJCAIK!}_Ygv~5Qh@29H z%`Ac_5~6_=Iy;E@vRV1}B%5g^Z2YNKHhR^tjYUT^Y#gmAa=OvJ-Bz}I>NhL*HJGq@ z&46YG_XD*pZI?@8quM=6WiuhQV!f=vrLuXA5=>`;OT{Izaqu3cvYC)tv0m2TQrWyl z38ph)<7l`vghnN}9yZ%jE3T6ow*{%Q z3Ck-=#d?-~0i~6!7xJAxixL~ez+bh+!rPU5IHr+{#}{k-58`kWa{wNzBRn=Yz@DI- zwC=#qW=wESB^CceBdoA%`QUx~jE+%%*!KzSTFSfHN@O~iT64=6Gm9r%_{K{)XKmq3 z=rtK3^h%EssE6l^+Iqf-_b_WDFwF#CE56cv6~exM2eJDq=jK(9>1hJH zuW~kD38tqB?7qtReLh!DY&Ail#^-7(+=DY`mIfw5}F`Ws_ z0pyJe&6i6e=XdcJQASF|dr({_)|=MgeC1Rz{<6!62A70O$a+}=^BQ?8Mf2i(<#aUu z-pq&wTQSXgSwlukOL=cV^I~FJg9*%#yo5|=4LM_8%gY4klnFWCUauk(oRbo5;mTai zOTsi}WZo9ChMeZFt%0qW&V-!oudSL1&Pj>3aP5k%n6_Ep$Q`u5YNIJ^#dI!}&Ef_G z=afsu`7({!gg;$b!)A@D!B$LXf_KB1;GA-)*otY)kbKWOYuMAdL@ck|m}k)Vtie`H z+phHp!6{6b#|XlTrr#}6XY_2vbS@QJnK!U}{jbD$=H(}PwMb#Ye9TCx%mZi&TQQwW z#a2w4w+oHdOT{Tnm{$s-05XpSPWs#XX#8ofi@!Nhh_C(e8^rR^^8AnC zZ!+lURW){Q|1yog>IEN=pXF1CoDzi7(91;ZRN>i}??A8oh20V^PZ?`ut1TtUH(-=- zp^0ci1F@{(JDAWepHh!($w7khBckB^4 zC5SWbegh>Q{+0Nqf^v0pN)T`OiR&tH=DmNir*YyR{E()caMh2=&mc}cbhTWgL(5xS zXRAl#lpttR=pXF1CoKo~a9DM2H#PZPc?N5%sUsH&j5`_3GC5Ha$W}o@G*5gOs z@EWlQ;@HO@*7&Q>c$LJLPtg#cC^6M1sufC=b4o-~4~?7?6S-CU6>XZ_rkTjz$9)tm z-23!=iKMU<`;TdYt;Xn5BK%*jm9)gJv=a4K9$t!%(eTjwNO^Zb*k4T7aPic0iZ42< z(MruJ#lJo2u(Zq)Kj;mFC>q7rGVSpPgf1Vihv$pfF=BXiqvl*&@s(Qhp%>+A#a7}g zl^A+aCfG`Rr4mCg$^=_UdsAZQMVVkLX^Bb{@0zbw)SRuvyRLC(hklne*h;*s5<|bs z1Y3!BRbuFOnP4mNu1XC3E)#4e9#x5f-<>hRR^mmKC}ScqlaIHnxK?Z>zH*IgH5@Im z23v`*RAT5wnP4mNl}Ze~C=+ZoJ?azRw?cW%i)t7?F^?am+VUwi7yqhO#lObLGUwDI z)YlrJVQ3sUvQNXWedXb%*tKbtWXc+o2~k^YxJxtE!r9$EfuAN zUcMqF-*T;F45!ap@mJAeWc=vyR$4DrCfLe7TqCt-4e1TkirIrZ_4@(s-1_3WAq}5$t;VcMx?i{Q+Z4f89!6>J^4dRJJmz619$#qmgBQrZ zrs0z{5$+R_0zT*nBmNc-JMq2HL6}zxVup=;aaBK}+L;))n$02}xn6nn-52X_|@?W5y6!P2uO9LTr5=1LB+it`{jYzY z7o}n%QksV82%}Wjf7^-jT?0R=_alheEY8L>O@yJrM5N@BL{89n)8l`1bB2uhc;uV+eUfVMDp#!aG)=@qgNbn~Tm@b0xBKxG$^YN}{Ghh0 zI0M-Sb4I%{c zy$QCG=o*hO{5rg~1Y1tYzBY17#BAJZM)A-ONS)P0|{I(V@7-Ke>=9{MZr5rzg6k&>@ykZ^y*AmG~|?nX99W zBQ`Ecy%&$EqE|zyq$jAvxRrhnD0Z-|A6(sK-;tXZpO{9e+`q=?6&g&8Tgmk9CjjEM%XnD`&Q$?%&v|BU$_Q&3>s^L-wP(@~xLyFKaL%yJYsw znoYxWCS;e)zDG2dL|Tb`tLLUtah+IiT7&bId)}4rd+lpTORU#~OUQazLv};$yI6Ik zSM!yYXy3Bh*I;#~SublyZz-*z%;K1s)?h;RfolnwR*kkOwF~KmYk8UAoH8LJfLdE7 zIH!`kYp@m5vVUINLe`M+M{Nyk#dId5udR2G3C<~(imjNIvj+6s2-6l0D+m7Fs7=FG zOsj1rq!+GFEfbtm$=x;BifK8QQJ=1?A!7skt1V5#R!nC?#=H1Sk?L`n;GA-)*otX6 z!&1FOCbB2P8cFe@?D;amevqxC=fvM|)Y489Y$biLT=jSDL9msK47#W4`(OR1mP^G} zGUt>!9oa*Jtz;yFzuUP7!B#RRs`qKS=4>Usb8QRL1Y5BO&jkCvY!!V;Cd!I%xzBw6 zaSi1y^O2e}!B*(u%DZI!`+=TC-ZQtnqFqfBtTCj~Q=3LxYSS*zv&gq!kVdh}v{+XH z*Q&hvg1k_wLgbv7fY+CAzZhuboS1+Qmv6rq5IH9%;CIJ}oRbjtfrpC`3)AqGQChdh zD1!;Mf**@3B1BuLb|Ew2`W&$TV85cam5@2IT+#BZF~K>N++BmMn3nUqb>x&aWSyaU z0JdT}6S4wPy$lnaQ!W)-F)b&T>j*Gw$O=pKeQd>aCS)C^jx3qroN}qyifK8GEmyrf z&6$XiaMQ3A)0to^rlCFV8FEUDuW=2>Jwr|j0&O8YMwBbJ-E0+f*rT-%t;%zb{xLj8 zFzq6z#M3o+J`8FX{M_-=#eN0GD8D~d;c>#-!6y78ep3Pg`FM(lOFcpZ@mRs3S!!(! z(L3vmwYIlRuvO@}e?+@74Vnr2b(eZmJC1Olh!o@-bc7KvJ0^8CD#p&pE5_Fm-ydMMiiqhW$NgA3YGwAQC<$hDOO0SzW1C3_t9F}j|( z-&P>oSjEvj^SX8t@z9VJNGGsnIby{$>LF{d3tO#iqc_lfN4Yonwgue{uf0Sfu)aHD z#WXa;udlF|gK&%obziOC{}@^JiAd4?L2LP(6KXE!09JHzz@BaHWptmy>H!TVqA$|D zyGrx(ulwgK+Htr3L-*C{{SWU04cWt~M5O2*WTo@CUHr(3c1Gp&>gND~tjV zj@GW{GU^y5T1lUX6y2k@CyH}|w)C?r+qIMo{2uV%cZQY6_lZ2Zb1SA%DjDgo%1`{S z`$KY1JdE$TL%xVdxO{jw!&BVq0-_N{ynI^yzs!p4yZ?})=a$S0Vb?Q4sCFT%a zaP0zquzbTtJx!(gGMzOzFQ#SeW8crwzaN~!gp6L7`=VkeZjee=vO2*X7 z^4%G_u91m)t>D|eR7~WYqFn8Q37O+kzM&WP@S-wDuGfTVJZXNPGQn0%XT4zBg`FwV zE6VwDPZahj6gJtS-HSr9@6ySHj*_Q9a~< zkI&ciofyd%PmsPp*x4KFyG0N2<>Lff>H9H49}ue&PH(JhsqBp!vBHOG)-I`=G@7bW z^fICQT%u9m=R}wYgfnqaEO15pMC6o+*4|wLA$bLDZ&7yXol(@h%_%|HTa;aT*HVd` z5`?`)*`;?amB=YU*jtocde>5koDzh+McJiyEtRmhB|Cd#y+D;Vv3c=hZohh z+vdF7s{b@=*7X@&i&lBI^D`fGvG=ET>^X-ov9~C@^sc4WBc~KS5cU>jm)^BhBBuml z@3D>eD|>>*NX33;NH^r zew1-y`F4+?hnv|qf|!3@D{Wqsa+>K!+f|!Aa(K}L<=yZuy&I0J+vb!YO0Mukhno_P zwi_kMR((1M*m+(-i?-HdP^wu@2_j#SqTZq&{;fk&UU->kF)^O+_2)gpNByvJ4>$Bz z?BSU3a48Y~FQv+UkZBJemeQ7w6P_1J;#RPjE>*ccu*t12t{c+uQH^%Ys-*jME5B8u zmoHm+7^SuM?(}|?9;JNCW?oxBjS=4`#;xibheF_E?n?<6KutFgpsekbcCT{r;t=5)|To! zgPL!|<61Gn>sMjLG&Jm8GQCzYqelu_hF>2K_X)OQI>IQGy~BG1H1F`lE>L}+*RB;4 zY{hhhk*~e)tQtY^ex2^u*Y)H~#NJ&lN#q0#d*4|#f~fB}OWCFEq#Z>jA|;n3asqGf zrP?#->z}%-OY5y3kE_cBucXErDbvuf?;Oy2@NOSp(Fj9>iAd2?7Sc+}zGLJB4LiM~ z^@!G9-;ma8#ruYth?M*kMNZJLxBFEi*5Qy6YfBN2dJxf$TQQBWeb0ny#D0yQ#;oh& z5f2R_aC&FNifL%r_Zp~1?C|I*q5gNaC~-{_zkkrOoREo{A3;o*>1JQES$C&sOq zM%dnQSB>a#^yF$?5sr9hFcH3%*Yuf&22Qr&R3pOi)au!&+F~Le8cak#!Fw!BBVYT@ z0o8~niq8S$CpZ)QJTo0(XxKNBBPGTO5s!Kh(T-a&jj(+Wi)zGETi*?qR)T!v znNI{x{L-F~TQLm{d*4|#;;F6Q^`ROO4?QAq8n=4quwoh-c8XOs!e{7-#=0jQPi>!w zl=|+xJ|B@2N@ee#>oXWn?QF)op%I1#6OmHiidT)u2^#hd0;&;2ePdm(Rg3_j!9=9g zx8hYJa)O4vx2@MIc3FAOfd9N358J zhMm$=jcAE_dc69Qh=&FdI7vQY#WXZxwt_I$uP|=&Fy?K@*Vna5N|{NNu&1~c(>?+e z%ht$+>?q+a8q4>f>48Sr^^6co&t>4ctg&O*f8(T3QwLm?jAH)emRcBiI zL5UkXLU3)lBwRl&Ra?qdT8ZBSzBha~ zb3OQ;bE|~jpj`>-t)#S3*?q;ET3U9d$21BdJG^+4Q3YPrxR+O%vgWu*$=!guY`{hSxX{k6(`yi zYsd(xK1HmTHJFgGmejgD+e~L7e7l}vtR<22KID&s(NmN4TFJbv`W4m-d(@muC9~h^ z)tJr%w+t?cocF0uS0-3PX1~?fvfeZy^XTf&na%{4ic2Ered-gOiQKB=S(zrdwyekZ zDQj>GXDhCg5^dqi`{#a+gncdh;H<$`@KH|7xU@bincx&AWK>H}urO`m>i5a$lgd_1 zXAQQ3A9ODvxDg93HL0VFZ_x>UDE_x!DIMHEfZWSt`nCq6I?3J7k!kEIHw7=VtU~Rh*k9sh{{wl1PhK9X= zt{TxB)HgEK<3v0(nBX2KteA!d&1+}T8gyN()+6GfK?J^?a>R;hXz&V4jJ#kKBeDqh ziAbq$97@gWd&zng>KoE}t%A^%w0i$zdRZ@)eIh5++}>DMjUeh<*s2lpL1-`$DfNw9)rg#|CE^=s z{N#YmGm%%U2Sgb0ynh}k^-XWp;89flJ^;NR;i+}qxZZ<^N2%-^1eAzAylKLUX_QKO zPJBna5-|d(?>OtVig;)+!CpVCn1+UZw}@&)Z&1HyKs6#B8cbxb?>!FF(6H~B(0d-E zCEc4a?~^@ICfF}A9bstLJMLBwf9~qL^HvX!M?HvW^N7W*n1+UZFOF)&NTzB;9xx@askAs+ohJCMrUMn7d@KY4wJ`pMPn_Hw*c~n!s*FZJmiIR3tYcdfAZ{MAu zM5NSjjZlrq2{jkzY4-wQyoqpNDepM zvfg7?=PoZg>eVAeq-5etr^rs=_g^zYL`o)J^}TbJ_x{#-BSfTR;)YK+XZhqWyl#Yu zluTT-K70A*54>T7h?Gp6bk%c~*S-SZ)7ZaOk&=nGZ#`%E%-?&<2oWinxcKO2FHgVS zUyl%xl8GmO;aSVueiYx2+%Hw6Wa0+rpSk?Nqu)M4L`o(ue9$wOAG;LaG1}LNluUf= z(leG9KIokzM5JWm1CKtqyutbJ8X+Pj6Sw{7)8(GOdxVITOq_nZr!6l&`l1mcQZjMF zPoBPf`xef{^=lp}nfTAeQesyNvf_%U1`(dqI8l8f6A~_;f#2;}6HWShoy2(~zDVdP=)=joVOUZ<^>29*MTS_M6x#=dKC`-wNJe%F* zQ)?-ikmtXf^bD4g3Gp%Ar1!CuOo$)rCOw>`WI}vpH|a$!B@^P0yGc)NDVY$T-%a)g zmXZnSSGvg_$5JvOeOEWxt654Wq<`!tdrnKqg!IAPWbbS#nUH>7?(D<}z)~_HV~K8Z zlwm2Eknu`4Ig+uIOvsq18y_vj^PGuzn*07L^2&sa)H)x-`TB9Yz~3zX&h5_;kJBvk zN&Bsxh<86HS_`&f8eu!bB0uHR>irOsHshJuF|dQoSIO#&2Kear<$tkgtSg z22jHqZ`tdQqTyE1aN5F37t_L(`$1MaL?b++A$e6RDb>=gEL=5srvYzp9FQ8W<)6OI zAwLgmIOYtcJ$ZdH5mwtfF=yCBgw^&=%o#QjVYR&zbB0YsSZ(jboM96YR@*xdMo9JELy81EVyUJZq57(Bk z-j6POIHd4>8kVY#?x9iXm;r1e!b)o%bAx_8B;Vp|V+NsDH|7SD1dTXw2W`%lNvGS(3TjpiAf^n9NOArHZe)WoI{_em`zL)G3U^yHf9r(M9ewVGsJ9Sl88Bn zdY_n0OcF8YP!AWgiAf^n9O^}5HZe)WoI^cz%qAv@m~&`v5VMI%BIX?05pxb5WyEY^l88Bnj$~psF-gRn zLq|(7o0ueMp0LAngTWZC`lvE0E`Ftiiyz#JaGw|}EQKpR1>cu&>@vLQ0ShDE!)_nM zCCxbDIc0)9GV)Ed)lZ1FP^gbutx3bIm>v?xnicm9oKwjk|3jm1KTw+#Ck7%$J(S8+%gs1fN=vo=1cTh^w zh{j(mF%!Dgzg)2@VO)`>DdDU!urm6Fl#>u`p`f8D4QWVR(Ubny;>Eg^fAXoNYTQan zWd!G>#`@n-Bk~178U}&TdRV?(TdrT$;1sScX2OTp2fd1 z_4&1Lwly5_#Tx&YdW047J+%_DgJ z+ELAGSO^Pe4b6ANN;O6aEqf*8x>=ZW${I|tmDYSzV{13^WqJ!&x)P|df3|iHzWbc+ zz|r?vqE*JK3a_@5dmY62vU>K;D}QZ3L{5F;@V}hf-S1l`4v5I9PjE?wG(4#hZ7J8* zu2?NeLo6EClb>URs4uA=m57}B8dAe0U8_n&P9ycGR!qm5Q(vQf$a9a6QXOujev*Se z=Mu)VIn)TNbKZPLbMhxYB9>dbJIfa~=fC1_B)o|@^9he{{%-cqN(8-$n0@+$<|RMz zc_o70MEw5S?%urQhF?=6=uO12x43z8;b*Q2OvBwcn?v1bYik#UNi>%xweP$494||e7AOA{f)Dh#aH$u#E-|kt<;`94N&TW-2rm9`uC>gZga zdBpcMA}^;)yFv*|-`*!8B@@sqBaS|C*Q-un`Ut69>7wzr|9tYYjJ5hiq-2fTKL503 z8PD~JNXf+aKlHd|88h~YNXf)4UVZYij7$4Oq-5e<)&QeJ`pLIc+r7RH|1{jiAc%B-_1VIlorz`A|(@-UGt8nw8}maDVezKf!8#p z<@bq5$;4om+UgCI)*$^ktutU9#_wjV$>g;Rxwq9yp5V@B!txAulTU3W#IJNd6Arpx z57rRh)%i>~=uL$9$IfTML2n|&2X{Ub4tf(IUafOKA9SA(pV-Ar*smzBAoYn-^V+Lb zT6&`H|6}Y+z$PoIv#*E{+lYw#EDCXCP(h8(3<1=HuWx@N=8vF`I~oKexI__9K|ust z13Jn!is%4Q#zc`taTbWU@OAg+7RBEk+y%GDs1X$$36kVL?>Tkqyj6YsOZs_crl#t= z=RK#YZf&=2Rd?^qc?CY735SI>hxCJ!m<6TL zCLDYA+l6&QRe42%$f<4-u#wC_ylb}EoD{c6^=ue zFLcj@LznhyV_!i4de%%Bepr1CDMl}8RklRegBH4H!qa*apP*;etH@nhDN1_9sMHlBdnO#Z zN)A{!YbG2VvNoOc^4rY1mV~a70~U7Agd?RyfUkQdyy9`&k9~wBBmMk5%6o%x_e?la zN)CB9G47rTM@os1_aEc#nQ)|(2zkdc?w$!pN{Nv7IOFb_aO6peh#aZQBXpGr8MlvT zjj1C?Wz;|RnehJ(Av24y&x8YATg9a&vzM{Ygaf@4LS{%~p9u$gDTK_z#y%4c^il|! z>5Y9R9O$JGGMk+EOgPX>A!J@F;~mU%dy9cih@tc{@nky0XL^<&aK6ONP;AuBAC?wN3;ln7bH znRL&DBc()4%~FS2fKr0-_FmU1^UN#W_Sa?pZx{T-gOc*-x%^gr?dLwuI4Bv()UzpZv*rlf$>YLpegKw;1T! zs`-zdH~G8wt(!uGrW$eXn)4_7{nZ6ih|pB~ao9Ix-uJchrVyd2MzHOSo|-#n&+neQ zVDf^a&Q*?(>MaJk_Tw=ZUNHIa&+KhDBSKSc)%jn!VDg%my={skG}Q>!%jntj^O#km z_3<0IY#&fD$H|`GddCHm$K8gTn)S_CUULY(+H=+$mIyj)s{M#rb!pY?xijl!zVNT+ z2wf$|?3r++l!%z))*SJESr9Z!ZS;pZZjF$+TD);*M1HTn6aq87yh@SLQwZ8?z=+`P zK%^P3&VKVw1?(c|o^$f7F{C6HX6~7YJq0|&mT>l|wu)zsp;u>+Y2QhW;5nd#^X?Rx z$)w#dHG*wtpJuNC@EkDoF153UXLJ(Idw*mmGiy&#&PC19G$&tb4S;8Kp_lhC)t>YG zOu~7eRP2GR5uBr((@{<}g7b&-EzmWB^M~^-&^3a+ zUR$gb?gl!q0E z^?jK`da|Uqww?Uv$@K0NL5S!x#& zbA(>m_xiE(1~%fXIT#UAy+s*|4s29gBiM7UV_{*9V2;qMBjkaN&TE8>!qW~Kmg+6a zxP4$VfEp1sj`tBQwQq?zLT_(TW)lOOm((1L2q~Sh3~aVkBV;6;>JRTcVhd}8%%jr2 z9@eWfuYt|smO{w2CF#Bf=}xy5g5a#{)*6I)l80f2i2PobV7Jb zJP_0}SHL(?=Ouht48ufxvA3hPpckm}9H3hPpckm}9H3hPpckm}9H z3hPpckm}9H3hPpckm}9H3hPpckm}9H3hOi?a+FrKscdRQtjeOyqikk##NMG25t?d_ z*gI4rLQ{>1y+b7;G}VaMJ5(YFQzAlBjlddm+7(pfZfL3zSbI%- zdU#yo@ z2+|w-mgZwUx!Wo$^Uy0Cd9`H-3E33qhS+yiMLa(5C_fE-?b(I{k*1MD+p;vO3ckdJ&p{wL5)u_l}M`xJ=KRdN*Ty9FXrN<^&tE>%mylHPo=v!>u+KSD|nybEbIB1`q=W3SOt zR)v)K#JiAYa)eZGKK2?d#Sv1y`PezM6e6U0({s)tS`~~-xK-nPvv60h79~}dV4O2j zTO}iU|7r*067-bX`^dOGzWFKgO6DB?)lPv3O*MziYy7L70uh>Ogv_1%tDOQ7nrej1 z&-|;M0uh>OgvOgv@0nH#f9t?3;5pG)*HQZDqh{nLEe# zI7NAwenW&*Z(io-@jcE=L`X>=#oy!1M1)jtUe+1ndz_hwkm}9LT1I@2GZPU~y?I$L ziSKb{B0{P+FKaUKJx+z-^5C5InqQYke5s>PR4$v@9P(`YX%tdRf+IB59MXRHX%qz_ zG}Q=cqx>|A0uh>OgtUWx8byH!O*JCUlPEn8O;d<`) zkUq-KeJBv2sYXaY=%+Xoh|p9cq<8i+845&bBE%5SHrUg5MG0YZ18~fm32%_PWKxWd z_o~Dp4T6rm{1k`6s<5_3SnV?o*zPmoDXT<3eo|`rjD)fTWlWT~m*RjWJ`)bU8X@CE zKhdG^Be-h>`vFUQCLDY<9Py5|Mlc5~@tJV&btA0ZWuInqNPq6{vkR-j+HMXj zL2&p?I4tZ&m{rq!(A14E4p`zd;ozG>{5HJm)x7s&z5HIJwkmXiFF0hCB2L(t$-(biX1`k^|_x$E!fFA9x$?D}}N~7AHCsh|pDX06la43Qwli_R!nI zT3?*#P;i8fCy2U``E^yXzvKb=2OKZA@2 zsouQ2H;9udW^#m-jCc8DikXOz>dnjhk2slPCL*MI^YV@*PNtZN2&vw@yvK=?DP|%< zsy9D1=NxKrNO_=T@HfYtZx%*-T<30-R9S-Zra`2;5a=_~SD(7-n8RwsF&!L5|4OTz zp{cft5fb)YXv8;#h}~wzdO&FEK=?O`SPS)CXvG~0<(ef+NjT8iLgElqh3+~zvmSXf0<3wIbrSWc{YmU$*IZEi+BV)!CiB(6r*R) zyd))k=qfWZVyUY<^gZ1WvujXI`=R%-sM0^d@qj%=E zqo8}FAGz3@kFUXc^TAhhFe1JNV|0zcTivu5oZgx1%qzW1uMx}<-;c?=iToW~jbIL$ z@8oy%@h-ha@csfu7vD!=oH(?Huq&!hhI=HnZ+-hA-Y9E^x> z)EHeO*ec!!5bx^~B74ppT*rcMDUQ&K@s;l=s1aNqQ3mn`D}RqyBg$2S{JjCKSk#De zMjwdKR3pmyc_2bljVNc^fe1}CqMSPiA~YpLE)U5?|GENClz$O1A74b&h)A*32wzW( zUF@}0Qs!yqu4RzEu3&VH2wmFxWORHHQCk%$B_h6vs1cD;B4XcrZI!eoX>~xKBHta4 z_AyylBSM$0c=(7czHg|lij)!&-#65VNGTEVwL*=EloAnp>uW!Fogt(cU30J$qvLCZ z8WAbBa^q|I@wGyYh?Ei$yYXv3q(ApB6wo94o_$>@D#oJu`CutV$JYwARgqGzw#2sw zH6l_kb6zzFDMr^EEXC;f_Mk>Yimh4s2syqas1cD;B6uys`b=}uyu+QW!HDaDI?Dm_Pd$}TjH#+@%7?+nJl<8#)F9>@7g4n{Bsqe~8!Vstsu zXFtHcp*7E;x23ShmmDm`vlopY`$~~xJg;0Y^D$cFhlT+{Q)Fa=RVUV4Argrp#EIZJWPk8p`#4o1T&=PMCfM?0)ybctYHHQL{v0P*ZAnj5aV<&7wFI-BPDNqp0A zy__uPC*G6@mSS{oG0+u4zQ1u@uxe-F+0dfCMSO{1sp|=io9i9zh%42Pwb}N!UA^-t z^sb%ZM=e$A?cxwbpY)O?Yso^l%5ryYmMYPZVvakvKX4>}P#$@|*smUQOY6JM_Sorr z?YCdB@A$``*wzBuG{uBt7y6WJswXvs+5v-T_5OzMLV2(xCCFpTT^%f&saTHRH z77NGSev~P_%qM<~9c@S(| zwG`IHfIj=cKb>s)@bgDU{Sx>DPnlkt8SaS&z{HWbMD{7+}vL~-tMVuChJaK zX!kqlO=FL5niEbxe&q>**semHcicP2{(TIi&8n9QVtYZb6v`&_>N(r_jNhhl1nhMw zLATC<_y~(to5-q+5KCJ3qeR25Ba`PW#eCv<>)cKA`?cq7`T9+#^uP11^R-@K&WZP@ zcdgldf>i_Ti2mTGcbz=rx^pM*+w(xHCBwaLKBa%tO(lY*F4$$)iEFaF`k`=~E*z{E zc@_y~XUw(}2gKWZsQi-m*2kH-BdQ-V+Y7&y9V?!05omPhT_ z_J4TT{#vj6`=Ohk^Q*Cc8MN1-TgNxO;*7~1r*4<>>Tp5)ONC%5v@5R3@@lmp#)4qI z$g7a*EjnL`K%Tgipxcnr_nhhc@dM*1q#P|4wuK*!`%$I@A6i#On^ntC z{K&$&KR8MA%D<4jaqpwY@qJ})F~aBkzjoz*2~kTq`iRdSI)3Pf7RRr?@}sSB>?(+L z!cnI579DZ$e?C!8DfE;0p0nQ$D`C}nW)*fWrc?c{kkT5DfA`*vqF47ornCFNvMl@{ z1Wx~Q1fv}R4)LQ64o2v%$;5s9PtM!)2;n%V0}-|}`ZuS2b<-o0RpNOYd9q&K0h_la zH>`S)ATChPLyFOoSF+2|^9l&Js>~Di_KM60`KCGT=X;GV`Rk|jAO7aWwtJtpjBxTU z@84bf*iEMk;$lG@wfm>qcYNf9<7e*ugBEt{j@Jp|QbDj3qo1(nme$db532;R z=qaLi9G1u!E?f2M4?bh%>yuTrl%s`j9M*!vttwN3Ppld{8uC(m4%>h9BzX?Y5ew%} zjES1&<}<#$=`(_81@W2xxUoI(aqam06Sua=1-HG_1datkJXsJd#pvnWb*qq9q?g7b zF-DQ`!oELQ=~k5^mOu;NIILx>%9KEqxemm=_dITd-V;{geI34>lyL%$MPiHsc|*KJ z5Ve$}_j%fm6AzaNcEf_G>9epR{h<_>!Z3I@90I&e!NEz z@*HX{@f6`}-4fB%mK^^We&laSSV}lr*JK>-IqOCKgjD&hOf!@+@1q1oY7uXKyv*?~ z1fy4!pvUL(=%@0SxD=xij(A|ek?NJc)k_?MkkZw`^xdr^QoSmq+!E9h51UnJ4^x?A zw~_Y3$OXK?^skH~e9`Cr&z7qN@po3{g_NU_)4P4*O_L~dDZ&1kf+$m>*GG9cx@kW8 z%!eFsr668xWu8Y9sAF!4Xc`wBL+3y)x-OPNz4G|dbJojfgq=?j!$+@JHus3{yg_Q> z6|&FKPif^d0Ll{tnkEn|#po+!Z(^L@+6+$=j(wFw?{?9XU{}0y!)VpF_nB-v`=8qH zk1iSo_pUGd`NoF};*k~NoV7n1xn4$_=dcP0mV&pTx3}o%645m4v*&{DhtzuVyWVT%e+uH^Q=SL<}oDYb|U1n!AuS@|E9P7!dwWJrL zSLXO$@&h$4>@5)yf5uZTUUh9M^BNK5%;>LP`umMuf>Iv+D5+SpDmB56C#4dUTAf$6 z7(Km~b;~hFFKoK$G_CD^Ldyk@+HD-?yYv<#{N88XYsIaC_^2RiDM#<|o!dv3yz%Gb z9j?X=SNMHX5E}(irpPIa>GW^3F&;L=4-*Gk(O}o_$Nao-d>NKb8wVy3EXC+61|`Q2 z!jCp|ttYAV#QnnuKX2oY!jFD<7zoJ`(gdr%kq~I3oLzDuqtU{7JmhtSWdUn4Xnp+T zAFR*Fw_WL6c)fu*g^!nYpW|P3+X6-bwUnc=?sNBxFB@akNGY(wvVgUvG9~!1w&Z9- z$OuEN!fFj!g74t`9D4f7^p&#i<5po6C)oANIYpG3z#=PNYL$4N^)edkKEVfstTT+T z&Van~)y#5i7-Pn!S@rH_<)MNYrIiU9w`DZeH7;M%8|T%JWu2D zft#)r#6^Orr5ye1*Iqr|``R~*ulVZMS}-)tM+ET>L6j+SMq|1+;lkcOk3DP%x2l}+ z@;A;gk5;Qz!m4)}M;q*cC^fNF$P;H53o}}*YCYbpx@gUIqYIvYq~?{^_R{w7scD{e z>}8uS6vR6OQA;^`e*a6xw_I@k_|A8IzBP_Z1#zw*%9MDv%cmI_x2m+4zwQqIn&ypH zeR$=&l2yXd274e%P2g~=kXK}TMo&MrU%ly+(NEIaD|X%b+ADVXrqgI}!*V{EqG=p~ zmDEU)xpP8e9ro<2zrK)HYZY;;aFi*5z-q0do966a+U>x)?dE{z zu8XCxlIrousltz}m(d72pCP2z97!L7`Cv|Y*Sq%^_$eMwe)JxL?LPFzg)7gW!)QZ( z(rb>Shb|B-#Xgk?*2{bdJ70;Alw4ARo`jU%2)$sZ@&V(1)Kc!PSUBnSqf80DvMzu_ z))Ggu(y4Q2e=|~+bCiG6oV)SQHp)uvXkS6pQjY%EXLp`FVDnz1U2lDK%H8t?vAZD3 z6g~G^-$#{ionHUlx37Rz;6N_AUalFUX)w*PTZLe$@{JIC&U%>-;j$*Kd;XE5_pI4Y z+bis}#)%;1>QJmXHO=Cx-4A%LAl@j5TFTK^@4n;YZ&$4wt$osiQ(j4XI9e}=GR1CA z0^ddV+iv1$nbYq6#4YOu@piK+pHqUh1z&*@O@nEUz65Zx;55Iu$tiLrn%%%4_I}6;(+a*!rqFeK{p2@SPJViuBr5#^)kB5H^B^#y`nk9 z_Zq}o@9X6ZC%>2eH?9V z3GO-9Q|r*$`5Qj8V<$fNoJnrshQAQRZm+af)DbL&7S7}0g|@qWw7aZVYDVTm*!fBX z>W}L}+Z9srKC)@Vj|1F~TFTLA9}7R4Uy2`lh#zH2@SzoTw9Gg6f8dti{PyMjy`E=# z4SX$>y~X~3OIE#Yl6MXakG|vO{nOTz2$nioR_k4pc`k4D``FLGdXYaN#rXz|Bal}v zRoWgtHO-T6*!ckWqn2_s_8k;{H1Ol~;zyYheBuYK`@$;hUrBpd7X1`TeyfWe9)Gj- zwUfMuWr+PNX%9{aL?EwR3VkA>^V@-@*?o^|{^Bn2W4-uMOF0_- zZsA7*KR#w707?ly^ec{5tA-z!nf77!?rzb~L&Y1jRd=2^!J9w4CG+^E+48NAulj$2 zctVBXy2NPn9P|J54w7nIFY}dk3>ZfsubjOs=O}-*YBO1t5n@T}ew1i%xaTaze0Xc= z+>mdEm&yeb?8InP7&EnWe`!&Bbs1#>$ThVqJy6T;0#AWwdf&4WN%T z0G2}jgx=nwqe}#AcPY%ULrTBfPWO9@Rh!7FjKFN${V363f#+CfU@7LqEY-Qys$nDT z`tPOfh3$Sm@mUwYV*G?l|9W!mssGYq_l3td&3})t-SiV_rTNWeG(wmUo4tU@aGOsQ2X$*PPHOIr7%M5|rNbCzO0?3r+Gl^-4?efisT&xG83 zknZQhUXv3)JU78E6znhYcv;KX_syFgB8arVBqKOS8Lb=*#tYad!&1nf&>Q6>bJ}e; zZhm~WYW={aO53sC-cIh&{VXs0-hZ#$TM#l|lQItk_I|h@B^q`eoH$sD(bz5G+~x-m zYn20U6r7#U*1jTJD}DKQ+Ewt}_u_=E5?z*u?Dv`TJuJ)-QjCUOK$z!Iui%HHr#v5i zQC2>_Df`t>27X5P5&J)M{LgPcaB|iCuWGS!@9|A@{bjFQxm6HfsSsQ<7;SllH6K}J z>9Z8`mE|v(ArQuK#UR)@cgu6nnJQ=E*K-fWZzmf|-U{Qk=k z{)X4zJC*NM;(bfg?0L%xD;~FE*@C~}4fc3biFd*mKmG18-YA2iY3?V8{p{^4r39jU z9|?rKMRpE)&%y85;=PE>gx~zdjenjHc*7g)ftY?{@~6ovl!1HB=;^m)QcD(4cTq?E z%@68v>>Z2!cTMx|$A4p^tUfNFUe!{L#`E#6$ z<X*XRo~Dxi>D{m3n8Eay0t$ zbH1=~jM0EnG`9-kKLt^y1Rwe?M;k)=bF~V+Fmcch%6LyDBffJ_-sD!HcMkU0DOqX) zi(4h0XT6L@+g?~Tl=qx-c>9Vsqp`DsTTb3Z0**ki6r;IC6~Iv5TF&8pEy}|=@a}e1 z`Y`oo_@+tkgp*Z);9iE&u&HUVs*U%~EX8~Xm#wJ0uT31Wj|6ooq@oR$mBE`% z+4$q^M47Ojtmn`Yl9ZQ62%`0)3~=?eX#@8-t3xSv*!74J>W z`IEe+KSb&MN-Tqij$kRQg?l_~l5d*?F?a0IbF7!q2s>Yikd(Z~T+oA%>e0ZS zqz>OBh!0i>mMZsU!S@Y|8;v`3qKxj9EFsl zu?}1K(YPOFO7LN|*3nvm!}DaOeUZ$#VXuEtfp>*FUw^~|ZxQi+(BqrtH9I_F)%yf- zPKDrEBcrXB$Q#8z-gB}P^C4W!yzmZE5y&fNFXv}5PgJWmlT{gk_mS>LiH2S7IZH7g z-a$II<<+ZX7vTG4Hz4fwnJIQLU;3_>O|TogobUeO-6yYlvmh?45WE_}Xv-_?e@y$r zY0V<|z+KGfv6Ea8u-&aH=l!w%&@^JzX0j?H#FEziDABMBo^KF8Sc>_kXWNhd)c6qF zM}`&iWs_F-z?CcMv1UDf&}*@~Eg?!NMwff;4ig0Sk(GNOnGbUu=Y}7FD5XO0)UL_! zgI41C?E>CS5XVsVMkT9a?H)Vb4m@U0-2+#y^~?UN6|(>85bU7i)qad|-6}>m&BHhU z{i;WaRgQ3WMzALM-3*^19I+G7?5z>5NfDY?H3GHItt!#iz3o)&((@9`QZnzO{Na52 z%8OpQnf%CaEkrNvtz1hbnD(l<=NW;WXP&zy8lK2*LoE-M!oD@HedT)*`rSV4Mk9j`cGb!*(WjthkR2S511QjA_PF|( zye<(UGzfV^)KZK-DQOA}IP{C|l)`)ncO#JE`3`hDHcJri;b{&}snNG4bctXogk1`J z9u~yVQ1AoqGdGOo|B@$+U`-~Czdd(dSB>_ZE)kIO9EDz&Vswe9r5NpDL%<^VQJ>!L$`%;6~}WzU46`T5|y@+Sv~70Fv5Je)X?yYicsil^2!nWX0xmo3m6#+Fwe zPvwE!9U4b1h5T_es!<*u~_Y8DMqhI)xGd=kl-qwDlp|dlKF-mL$sEX+$mMJ|P}?m=Hq=$i)>I;DDMou3$_?clp6@_&?s|O5 z0d~((MtGS|mq#tdXb*=)+A;`-+Y7x2qb@;{hY2CUE-6^z@nIFbb`G!MkXk`G?cr$- zPk|*Rx@dP%+vNwn{;UDv-del}h=um^wtZSEwY#J#A(9_l{_+3;cgC=Vl={rj=zVaf8X>UgX7lB6@EbPdw>7O z!6j?L562+C!owOJd@he1hSWhve>(f&QYD%Z;9x07!>TVHc@yfvn z=AMlJ`qbBaSveS8BG$k1w}a0vKS((k!Q8VEK%ad5HS?|k;{%E9Oo@u*uOF%$j9~8B2%yh;U^9g-5oS&)WIEQ|J=$m>q8$eE1o=s1zfZyF{=QqY-}AHTPFdC8B9| zn~y%q=1$gUAU^sJN1<(J#7-iW#|#v9dFwr+H;^8gq_~Hx5n&Z0n7c&qOp$G8pGpMu z`befmc)TEnhJxp8N$nFM5{>nP-bWeQr5K&Wiq*#1__62iwjY@v;V3agSY(^96< z;+?~NXqxV)Xn9*dQ89*#6qE8NI5aJ9<*Trj2lwClwNEDN(Iv%bgqvpXzdXHv|4%(h^NL&Q=s%XX5nkPvh)7x9GCIPjSDW{IWxsjQWU4&A zcl1`78=&W0-j=!hVL|LIh*M8^z=7Obb|K93L-u%OfBA!rgQXZ9;aOIRH4URZjQFP6 z@yloS&%OGyHy|8O)N1m)%!LxcdBW%j!>TKfe_(%)k3Lh&+((e$;}NUJo9B$^l43N% zO>@@gwjFZjK4&V2*Ah#K_T1x*gAqK(aVbVy_|xnEy8pqaE>jM#?a;-ofrpKQ5uO5F zjP|f`YFSWcz*`dxEJe(GrD@DfBkw}T#U9E|9aVl=|?Rq?^^n>+s0*C>a#qKN1A z!{d#E5nWP@MmYB$eT0!GK953aV!nfT4;x47KNR69HQF^HK9}Ge!YDx>x1-c-d~K!a zW9<^bQjCr;%KV0H+Yb5k!|*;BBaM6V8VPE9tSwl)`9TP6oogx4l;aW3^-4KV8!)d$ zyn7419&a3}UQOk$OEDVZrb$m!k-N}^rwDUH$avT|7~v_9^0I-HhY{a2AKO`4>i%S^ zJif1O`!a3Y%iK+E``kNsf6+la!|Fz?Zky(7(i^;V7v&f_0^E%7c=Ll0ol=ZOIQMGm zN7NE)+igx|ZM)B}N(9<=mtu5;QLj?}aR~SVv0BG%HXy_76 z@Zkye^)ipQydp%W6r&N&eUx&bUBbE#;=Lw9ug4ol>Z7zKc1bZB;XH=Z^1!Ib*BQK= zA>(1=NMkrfcnYK#?P0{{F`QcEwH>-x%EQKy#&C-86lh|!hY_F0yP8*MU$NGQc(mHQ zCg*E_1)@`m(Fo`MLpfY8;<*ew-uy`Yhx*Ya#b|_c|DhaSmk{qhL9fRfN9sS6qf3g> z2;&!X9GTHC#%vqmJg3sIaU_I+6~R)z%59pGQ2qz=HLRHXO2Ivo^@sYp@jX&Tj?Ms^ z36oTb{>sT+>$}F0XV{aFVsy#Dp0iYC)zDDzV;Ay+(TI0$ktPJ|tr4ChrXPO~N7w9i z+hp7Och%JzgztOQ{nEQ&qe@!)|dXME0ByX5sRZ=OwM}R zBb0*?%sm?c^tNN~P!2|yh)?|Lmy^4n`Y7dK1ar?u0Np$8m&(ED5^>Gn{QG3xPLEX% zMlknm1kgYH@lTY4(Iw)@Z~S`lw70HQ4n{EdYy{B9{`ULI!RQjP+gpA!+4|AHP!2{g z_iO~vkJ)guaxl6?eC36|n|xza2qf5j~F1l-S(dCDzABwlXh+&r$`f!iu{=DQsj)s)yg85{|}i6|bi8o_=}DBY+4mZ<~e@ zk+ND+0v%z*uYTTRN1r+6FSXpgB?CLRoE~p}5MtOR#b|_^W{<0m9QFS7UMP>o`yzz7 z@AEL?ON6I@ozWgPtNv}jmyPb4d}{${?}ZWO-qOQ}ZyH8;3Uo2r!^W}dg6kF@{=ySA zue@b|CT`U{ehM+{l43N%P4nCb?Kt}4YoD(i-XbHOdjO9&4nhpOq!^8G)4c0~Q%8^9 z`4pY2#W-qt%j32Pqh2v0QdYN&jxg#~^ODCbyzK~_(A+fMh9m6l2WS)#|yULlgXX(wZ|yAO8J=6cJC9<&}?+0|5?3M9OM< zYRe~BzS4Wct42S${Yr2Q|Lyv%lk1MWNBW87MNRa0^ZeF86t&%@7;U+`O%N|m9PBwG zA|8I+DTr4J;&BK3pKH6N;#o0{(LO6wC?%{687>)&%@>iBRmDV80}%>c=r4H zqth4nR}M!&7q>(nHV#I33Uo2r!^V-?E9LN(0lL@{4;u#~JO#QK?P23s@#4oUyz_`R zCy(32xQ+67vx*SIE-C2sc;oo`)*mnY z-#5HiIlP5K3b#=nZybaec1bbXIDU4*Lq>1;`@@vOTR5a}zvS`8L5N|O6r+tJ)sjB` zhgyO;4#KDzKBw|9;^mGwtBH#66tFYe!)8_Lapr(VZNQupVe~RS$MrDcb04J$Pk}B* zd)PR>B~R@Ge{-cG_B?FsRtw^0vWlg;&|;wcQY)%{pcS>X3~M=gCB(w8iV6XjEEF#+uf4T1a#`1wU(fcV$Rvf4>h0XhCUZ95nWP@MmUe*rs^(g zKF_0l-d-ZQq@dN~5pJ5)QWv!y@o4RQUBknOFA<)CI_l2~WISwjH?<7P;hsYZ?FY|s zJ>Qy!5nWP@Mp(|LwlSP?I0Er#)p(BUd?lhwiqQzmDFxQ{fgN&HY-YAN^JG-ZSV6oC|%Vl=`YpTrXf z>$*ZMG`$Gpy$GI__`xccs?q;D4%Z8`hf5Cj1AMNR`AS4BygiwgGH)%OJy(Qq)K>YI@D|_2 zVfI?eG}<}bB3Q@YRGaN4Wnt$i5pjA-iSV!2p|?atNyRxV;Er=&mO_LSpZv8HBF=Gx zg?*zRqt5phaVi3m+KBF^zD5uvH}LrhCGkx$}^ zleA!aT^@n(^R}!`dmCIMN~;1LxgZGYqfiq)f6CLW5TEmECL+9L^imvQVR>R!ZI#lOtMJ@y%!rrTuh-gd7JHrs4pTR1xmZdT;Pk}0)!3xoKB7!@4 zJ`_9USL%%?xIAe}{TM1h5b>nK^Wcl;vF3=B62VU`w0nN>Ghubi>Qea(X2j6?L9cPs zXm1l0(Fc(s+;$+m{V)sdJWI%nFc9*amWo!?H6`hx0_5b57^Pk><$s%Bo zl1F3(A~c0Xj#x%|105r^r4S(nZmNmNYv%~PQJ2^!;TS>Ierw70xR*>uQ5v5;pNWWE zVDvPHdk(#BA#*3hFsx#!Ku0drh)99nKyY61xPjJEP%gw{myrABzE zS-iI;@Y(CG>9Uk*bit9zge?KWTQ!UK5>yT#hGp4Kqk}{49=O48E0EkByx|>~p8>J+ zz~*XtCqaq_Lm*g6&%#MkJ$dKp=n8>zYFuhQr1XrWPL3W)=?w)*>Uy!R=14aQWZTo( zLm^I{9G(sio3WU#5{>+E4!NImi`>sSO80Z(*3dz7(Du0b z0_U6!a0^Dd>2pxr0Xo7Rpg0}E5iEtK<+XfrMpaVw`i7fbh<&b!;dm0_{0y~GpaeFRuv~M%9x@3)t(=0ab}|2GS~Wv ziyl9H;-aVEEJYdnw1@xcl?B>7=MxuQ3f?*z;fNPZan>YB;Y3p95CqPxRcnod&zcM= z9W5m(9bY9hFnp?`>%zH=f!0$*6QVxV(GfVW(etWAgM&|XbSd<^j!tLFk{^8br<~tC zxb0=1Y~ih((mXin3D;^k;{W4;pIG@*IW6=aJ6{y%cMt63(LkiLyptwaC8wA#q*KhZ zUYz~u=ya}g;sd~~LP@zLI43nM(KE>t$M24nYiq1l{z6;4A`tJ2wq&XEzNCUC&2bPi;eVsttUk*xAN^^hlWrsUv?%RcQlEewvk=}Rr* zKyu~SP51*Q* zzL}J4&uH8~y4_RP47zV7jg;Uk+cEgzR+aYZO$)iz4(|G9QsS5=pK!h?dCoVJx)g3E zb@X)GE+_VmaAGg=O3uI?;3mX$dasPJTKS_V_NK6$zl(E(M>t2gmU47DA$X9_5!PGy zaE@@95`1$0F3u5FZau3QXZ$J$%G^`ZdB18&I?1<#gAptx95~}QaiBchbJojfgyj_C z?TQKNK!6mG~$ zDSA7T@}(P`G@S3KQV!VbdeePXYDv0@ia6x1tx>vbDmUqY-wr>}R-R%>j>+8;E}>w;Ulw?!FrQ%XjW>Z>E_LZVJBX6=w|M&f>!b@h=sE zrP3{0stGp9TlaL!G3#YMgo_zVMo7vcSw-`jnD5B#Uw5q8vcGWrK&%puLdwx%;ket6 zG9~!ZU1H(Ka6$AxIo%)Ce0xoc@eb~cI`DCA>o-n$eA68C#Xqe4j3C+yfg7ie-*RBP zey3j-Xv-_VKZ>Q03!%5S=;#uGTy!bi85L5!`3Q?uo5-q+z+F=AM~McDd(KkKhtZOA zt5rkX8IIZx+vQHqKH3kdm6P_b|F)0k1GU}b6@e$JpXzRwVl={zE)lTAbqU8Hq*jg}?7tO*=)&UQkSQn6~pt5I_1GR}^^!OxC%snQZ%VM}~Z*!5m3 z<$P*I)KZQ<#!j~$T>gHngyAP=F5iHYxyzJTPbycCAR^qQQk`z+w5 z4LqIl)^dQgrE)GCbKJbPw1C?kYAHu!WlHYQ7+_@zHpz{f!hst@%9P+sH-;#;A!MaU zIq=3L=C68pNs{8V0bl~bQjFHOPQ)Q=OYR5Fk@YQdl8QOC=zs9@Hp=bX?gwrONgf7b zdbTZVONzjH66H@uryD~OU%E4F!S4)H6+yVHgg2`HF6`H+3+2VZj*ymjwm(3Dsb3c zbRos)>D3Rn3jK%cP4C#U=MfJMxsh!FchRA?drG-x6>C{dGq>$6xbIHOqn2{CScu#5 zl7HZkJN49$G9~!RH76is%rL?&m9Wa!UNC-;yF~{WWgtGn55MNxRbLjw;{{PmIU1uH zxqotiQ4JXM&dCvO+bmOpuUy>$!mYw+BG`EyMsLDQ7K&Ay-71VSf;|wWCbkMCNVaG6 z^eT?rQaQpcmBoJu?wrI8n<*vu z%GDhp+^TX7iPvHDmXEZyly1Tt;eO6^ucdR~R!m*FDK)uO=?>5=#prZbN?P{;;)ge# zGCE!EqeEW#OtGBz$9h21eDb#Qw|rd?-w{MD<>(K7de_M_t~+<|zC90=)&jr1Za!sn z(@p2kl_|kj&cc7c_Phg}17+@dd8I%!4Z1lP!BXW68CJRHte4RUmn#!;!`KLSbV>?t zXx8~zIq#440KIz|cSw(LhjcCF=yW^uAm1U4yO&4l?q%FAol=4i<7-FjJ=M71Svg>@ zr|?Qay2ZKTNcU7{1WRG$?3$2Mdh4`$&U%>-VPE4ggxsPu!YxY3D}U!z&ii9MK<`At z-Au@lA8W z>Bp};K@i(j2&|;e4=!r40?lYE56rr@7X(W&AHt3<5wO?Uu|^tFz4-`>Rh!7FjKC_V z`%$97a%A$HrI-)vqRy>W4R4p02e%@^UVp=fH!*UvVCy%Ndc52ed;GSIa{pku(K8U} zUu6$mi#JV-HqYhlQofngrI-)lh!@Nd2)}tT*!8wW<$zBS50*f%6u;Zloo5N{2)~)s zbz!^{mgo+_g!tKBC*bDAe3z*s@aD(!szih1w}MD_PG%{L$Q+H)tA`=q4DY{U*&J^4 zM4rgbvlesbbnj=2dp|L=^msWD<@HCbc&s4ko>4_$bnov{84U`(15^<##e4`mS^-13 zHFVDJOAU5@*Q~dqDz_qV&uBuhlYzwu8mS@7v(O-HYpc-^I^Mw1(9<7k+*z+Z_X;D7+sbi z{8+AjaP7m&g!5sp=3xn`=Y}YyLNC|$g&i(kMddMY+a-UfE@7R)IqKWF9D!8>uO%fK zR`KmzE`_~6j>bBJhauk#!*gbjX9YE1{ku=Sh%JYMeL{opfJo-T-sD+Fdjz81=8^&{Wg$5N@Xqd_5YY{^5-e>*IYSM*5pR{QQ0%=@0Mo zyO;Mrm1Yx;U@46BJ>INx4%Q1xLaMjud?iAp@+vvT@FCTkkMQ9)tXlz&UyD_>lyhL7 zQ25cfA7x7LmFw`Z%kT7t?Y{nrzTH>95Fg?F?)|lm`^GKcg_NT)W?1#@eFkyU_z8m8 zH{CQIDZz){z|odhu*$~_!LGMPrj=V>HFQ4=!BV`2$Scr}NH?bA7T~;w7?#8upnQXS z+7T-so;=40ApD3GXp9*`6F5#3#Bv>xg%qRFCI%l6cW!^+Nd909H}9)B{DyCjoxa!p z&DPfre*B4TE#&2GoFL>+fncfWRUAjKUgksC-{Vbj{QyZtCr zVkNCyI}?`Sv#)3l@jb$^TUGbdr(Pf972m}Qy8^*dyf3&KWe^AYbJxYA4BiP`awQJQ(PyF`Rmy_916K%3eQ417L`}s-;Rx*ecXQuZjK! z6m@LH-g~up&y9K&VQ@I2mU1-e@wZ>FZ(G!MTkOomTEEB3 zxwVgddV(u5aJ*olW~E4$L!LuUOK$ zA0--g!E?MYudswwn8i7Jxd!CiP4k@nc326|{cT^Ql<4qWj2bQ@KbRw=7!5yw zaE_3Q{F(B6_z_umeMfr7a{e`Ex7h27w8N$~!|<7G|s=?8AQLJ;q&5P09> za}GwsCVgYl$9o)>LOF+Co>MUb_0^@yc}>iB)T)(aRYu^AiThEa!Qq~>6!YO7i*rN1 z8D1)_+qu$a!FFFU#a`Z9E;zr%F5hxZ_Nm8Sw&_AayrV*Jjxt&~u;aI%cKlMl2Va?Q zz&HZ7yH%y_k%vtqR;?tfG6Fk(-H#Ft4)>g;m~VPTSoV?iu|En@-h16Fd(~DvZu=JB z|7d&;uX=a0=}Hj;C;k?_x^q#-$x-n!tFlyS&4Ow*9)SS zazF5`)Fns#v>#toVXXulWs2lgvZO2vQo=7#z0>N0}0QWv-w7i+gVb;-_X+wwG6K zMAM|;7&-@g$WmpFz!M`| zbJojfgkyXy-JrAx_!<|#o#ac_woNzCN?3OnN_&NPg#S^(34wt8Jf%reZ5wEW5kJkb zMq;50=#wBp;cgC=Vl=|t9JjWicg4WYqXOTG5+OqPSxQJT`qtLsO%i`2&)D-_L~n@@ zA@JlM5Vh2XR+2>u@ofrcfO2pO^C8@gK#J!H&?hBt5%1w?4o|7kD{@{r#ywv{dR+>9 z9v1nbVSvE8NEd?noFQ`8b=BxwTag8S{yE2nR-z;YdR>aq8IVhmr5NpDLqI?Lsmr5n ztsZ;4)m>l|A^*aXTFNa%eE2aO$^YaB#7QZ4y#)DLAr2shW)%=D1-*_Y4)VimHRlx& zx3;1c{Q6@*JjJB=S>fk{{qW}w2ro_Wc{p*9AD&`Trq|E~NAd$w8(PsK_M)5-_FV9A z;s8Q^T~drD&tX+UtU-RrAMhaz4zE|!<@5^^n6J8q{M-Egb6W(ydhW$ zRw+l)WLDK2Z5vV>B&>NQ2!y*iSgK7@unOUBj$E%qY6V&4bwR3ZDsSOb&smDmw@^g-pkROS|a}<0YufqD5BRoeTgyo!*2g9Qaw-J@4{LPr)f{r0T=v7IN;D(D!BUP+chMzHj7Yap zs=euEF_oIl!H9I@SVlNsFi5$K(%qTL!3fqg8TABN9E@P@*@$#I zn{qI^MBq-nldu1Vaxj9qXCu;$e9FP-5|M5>RSrfl_iRME*HSqcT_SL|W4KbI(Sk+x?V-(Io!TNuiH&?ivv(j9_a^1kd8wcJ`@6K(CLM zYJ|rNVrVG%!IsoM5hBr;=iWyd+NBts#N{7DM830y(c6W*Axf!0vri`JNXte0A4^%- zrC^PFUrUYKK$j)x;~(bWa<+IMmq3e;f2O63!{RM$f2(B@M2e4h*g}ghIFc{ZQl`=3 zox^>|x3cSV5KmFQRX0B$?t>xhSu-hrg5!By-sYRFVU^#7th&6HLA-~}k4sk`+<)uW zRw=?$YP9zsh?n=#r+sPeN1I=+2=D0;&m#+uHx5R0NiiDXe5>vP!rY#F4}f?N8%MfT zR}r34qg@l?^R2q-ha;fNdosv)*f`Ryx{B}=NHN;Oh|jkiE5gTyi09Fy#~VkwRqf^v)@cTc5mjaQjgYmHb)W zmWT~cKc&C=rSDw$?)J|)DDrA`+l4Tz&Jx7^UuGOE#pnnlcVX4nE305FqdkoHeBZX- zcNgtPz6D(Uh!R}gmWW6xTb$4YtJ2-eS`&S=4Q_t6J>EQLM3)q!5zaSsD~FG^5zl%( z-Z;_?-Gx<1aVbV4oNusK1h;UG0NUe?Bi&$MSjAG%>S%;z_rt;On>+s0*C@hUIK=a_ z?eWIJh%PBcBiuAMY}YLwT6;fP^(Fo^$P_07QE^hgb zBG3|H-Ub;D8%OE~72zq6Vzh@5FDpCNGRz^2HpAy1c(!exVC}BY8A=38F*?F{2G5Z; z@skglOmS?#uywHQ*n7k$Yp;wO2(*cN3*x*3uQ?EHqR+g#5vv99SwZ~MF3K@@k2j9=3{I7xOEDVZ+(#892wmu9Fpq|ehm9lk zQHt;sNO}1~%EO4yeXW*9l%Tb{Hg8+rqILB-T#1O3vSx%Pl;DnEKC^%B)t}Y7wgR!b z<&j>5QG$$!l+u#W1dg*lx9yNK_c>F`!{<@ro{mfKJX-$nY=RJ-QjA78x9!S-_8e(1=NaI9BcnYK#?P0{{@vi#e?I7aO z!@cacU(merc;iUpUG<|&iqQz?zE(LLfq2dzk2j9g*D6Ps6r&N&<6T8~ZHF%Q*2Bh; z#=DB}6lh|!hY^oo%yH}%V4ub9wn%d-4I4+y(}1p}80~XI$k`9`HG4l`y7Uf6ue+0& z>ASpe34*0c^!h`6#k)j6D$lTS_jVdbGrB~;54WAA?kTMr8VXkJB8Xd)?TkjebBi<~ zSZ|GRO{O1z4@c=vR<%9dyqEmAO|05Eg&C3VWSv5=rjQ2*Bhn3mQ|Juf1^heEIMlknmM7o7hIT&3c(w(Ks!3gG_jYv0BDhH!WM7l3kIT*p* zvk~cLLFHg{iNHOBKm74el!Fn>JsXj3DO3(dmxy$?ta31dxo0ENO`*!c=n{b&{T{R7 zX60Z6bI(Sk`v8@L(Ioo_w<3my8;)42 zM#~+S$~Vp7Jpfz9=n_#&IXc~5864Rv=yECR;k*Yx|1o3rT>1%5;r^=XKay$QbGlyZ zcfA)4P2mX;EX8`c_pv_Kan|42|G3|^uuDO=_iUvUdQnG5k6LpeuR_Xm!Sc%D6JppU z#puX&lT^zjXr51_IY$UFLfW|P4y?5=Mgz;+d~>fNB4xFt_&5Pl9!C7?=RJ1xnN$7}rRaCz4iM&+vuxoA zG3=6JG{X6Q=LLklheVirG7lrZM0g5vk%*VC zoz|GpgfdTetoOm^?JL6E4tl)#!H6y?Mq4;prDYDQWrz}8B3KurBMgo|$erFVzWqvY4FC9&trMK;MtQt(q?^W-qf3g>2#i5)#eaJjoWtY9WBCWOMH&&VZ@gRPXRllJ#1Fp`;1qO_PYH_<*=5)_8>YMT0I^u zQPccfTA%AH1X9rASpQM>CAsyP8$7c;kaal+EMc^q#7?)z#WSKo^gYJdAiouoR;s430Ef znyR~~`8>x(owgEWM3+<}KaWQ^x75nvwH@(j?Rv-kQuTTm@g>4jz|Lq7n^kFir5s+{ zp$RP!&kg-4Xc|UzNiiDX+$JiABM^^v@b39V^~2-Ms?;VbqDzX=2g>BejWzRY-9uXmvEgxmQz!mmuP~HSjRvON6I@ zgV7!~tI}*`sBk&2tv-9+?#sj(YjM^+uOTC4XZf?CwVNK7Nf>vFvZlmg6n2AsmSQxY z59f0zfWUzk&h&3WzVlC zZxLQ5f2KKDiqULs=m!_r-S)K_wx`JA{fPp`pQ>pov&7=rDn$rKZI#zPi|^tvdo5)e zU2vqQ3JA{=AiRyTcz4zM(G(x)Hpt?HqQHnRLQ}JI0-n;%UK!`=hwgwKmDfWDE;tff^#7u zhG0Wp`ArK}k6Y1=X95Vnt)fQ6jUguGh|p9c;*`A-5t?d0xRx-QPv5I^H|kYLfzNAb z&B1z^k6SqIaqt{?{*?En7I~GPCgg632yYoJR?S3&g?!V>G{QYE&rb|%YXs{J&&v}R zORFMB;ZyJxxm)?sh$QL~pT!Hbw_UYWNO45eV@6LSyoU1$)5c+%5@IO26ch#pgtlxcLq zk;;TE0mAzXi}w~E`A-#>b*)X!z8 z(#WBrCYp(6GHRK^ft=zJ>_dsnFHZrLJYg4knZs&ONi=S%EmG3 zE^N1zLJK>}>+ZCaNm#r~<6nOUk%HEsUJJGO>Ad1~O38&OG&JGo`VrpHHqCL9V_xz( zDR+bqPi13ai;rjA5iG^%;}RNj_JjA#@vkj7OfSNY7U`kZPr`tjk%&``)LAUp+n9f7dNn>7A* zgy+@HQokI5u*X9b@`hk3@VOLQYmy3)zf70?kI@!(DOlq?wUpN-)8sV~NJYuN#*P@{ zb3aO}km@|lF^z_#|D3~WoW*kPPS-@|n3iI+>&L(T9GZug0;@cCJ3Q~tlHzy^+uzOs zt2{^fiL&@2cN537lxehh=WzY_KXmzXzoNT z;P9|W%Fpv_TFTNb-oo~`KOdIw7RP>Ayq5>k-Ir-8Mq4;pG_?QiEMhw&loXo$)m`2oRFj5cof-17-2(}hrpHcb|9x}AqP zYBX6TQ0HOK8C_ZhE=3GWOQz9~^q)V6YzYuf@ z!VWm#BT`BmwWR)b>xTyyE^L-?+eR1|Mnp>6GCIO-YdoG;pMT%`1{;^%pd62X(fd=l zZ6m%y47;V|+0AG$Jn^*MMjv>{)1`*UiMJPDJ~-`!Pb$Z6GCIPrDsiYEK)m{>>y!gho^KH*4n;&t+cG-B;8-hPW^O&` zeG5QGO_ZIrnXg2b2$o`Wgu(I6xw%m-dBnpiLJYg5q<&{K^5>BLR~P;&)hkZnXCmUkL5N|uRQaUI4M(TG zroZlBD~}KCc42Nme)pRTbNNRY9E^yRreSo1;m7BvoYyOE0l2M=cyLI)%7|_$$xmv*o94fy|M>APH|stbJUPb?(1*yEp}8+9dxjFh zQjCr;toqQ;p1ttfm%hFay{MxrUj5I?foEdPE&rrt6Y({oTgv(mLqqTDr2jbeAx~Eh zJhh)$ak+A!=D+0~A5e~n2L~g%rR@1IG&oXA4UQr5-TgqRIY-2UgAiJ_(^6LE!2zB$ z0??k*Jw&N_UU80?ypI{WrL4?dQ|{F?uTV!Vuk0E0z64L2h2cl)2Ne-1)>}F{G{L6h zq%HZpe0`5Fo=)$V@N{_{i!eAC5h*1)G(oS_-M-h|K(sACsSySTBO=AxE3?X43AZq} zqUw3n60XN>8}Z;s?XDuYR)-X$;YaFm6cKfl`}VS@E)nR}yrdW%VOTYkzIItE5A>W? z9@g)==ke6SDn>+#^~jD6P2iB{d@eob!>EZida-B4#))2!3xtkwrllAStK_Ner{{ba z&s|v)BOX=}LPvLAUqgz~;7C1ARomN^YhQ%H!H7t)aiW(EKR4wlTx#OP$L&&&Cq8bE zFs$Nnd!%S>&*;zutL$fU9BDHqK5mz?N#VAQ_zHm%?2yv7Bx}-MEsxtJM<+gRm)2n7 z zBO=A}%E#^OUoi^Ds3_NJ>BDoGM?5^|aeKFvwpUc~i2{erATuIT zbat1l(!L~HSdPMFWSLquUBAedgjL|+aeJib=ryB5lVnvf3g?!ApY4bT2anskrF5K_ zTa0oP9xa28SaXXS@!;TbJGaOo#c24!<90knK4Re>Ai^~wQgpnN(V+=e@wgpz)W^Gc zCcF=i_!`kIW&KBtMR^pCr`E^qsQErxj(Bh|qFc(Ij~G*@mKyCYX4}~hl%{h;JU9q} z)u;|BEA!wG-SV@wzVO^i&GRba!C^mJ>qD7OOEDVKsaMnf19j9#GI+YYFNrWX7!fJD z`jOG02^=!&>(e+f_e*%XypBZ}9E^w*d(ORALyO^K#&Q%M2%Tr#)dLT0ZMd#YC9MV$f6e-Pw&NJ2y1WPeG!m!tV zHaC!V32O_YOIw^2&U3ZIK?q-&(3EbpN#k#86Q%wPWd5NXKv-C7Ob$E7+Qe=t?LV@n z+#8I5m6|b-7Fju@#tfu=R0|_M)hnzN0io{=rlquAB{cM=ny4J|bmkOk84{m9!I`f_ zbW7!RAGJ2G6e&j_^6XdrhI&4@_JD>qZQkjGc*Ii3%H2Bqc9r5W+?JdMbJz(}S; zN?Yv|536J(Q@l5@TEfpt+p?wtftEVg@Q`9OIMV8e`XN0_D)YRasGdu2m&zP|Fd|a& zTA$XE&;$;C6Bh`6?(!;*qDurnwTzB1IMNEF)~jem^SY1v5mvzuMnp>4I)^53q@Gjr zDxO+v)vWw=KA20kL_}N5Qj7*iS}DqF`m&y+wsS8N;TjPs`OUGS@qCyjc%Eu|A86Ee zS?f_LZVe&~DMmy}e*32A&;*Y3eo)tt@zh!yWqqw%5>|nO5s_kTiK9akIMVD6e&~9l ztY4`ms4?bK+oIjI_zK}~iv___Ruer(a&6bVLXER_*Xos9h1%n7aEXYN{N7plLK8es zt7=o_fhX8)FLRd>-BR|PdyeE;s^(Sn&eqGw8kt%Z@yM$*uT`s1+oz=%4L?$^rW}E= z-qQVucyJJ+;gSj|MuUUjW^g%kjz$<9jEEF2XKRU~%+s6E{Qkqs7OjClj}Zn3BO=Ax zL`QR7$g3avoChMm|Im6Iqdst?^%6xyinUk%M1>~FD(^qE1f!N%FXN>d@!&{3jv~-! zOiM8u9BEapLfBZ;$BC?|M8wF_dNSi*IFl_40^#_+h_T%b1q3wG7u(j@#v_#e7gbl;?5cBl3s`M_Tt8 z3D>Y&%HA6o8W!=mUE02+S6j8jr@c?+D-lwwJERy5jQlGhoO zBQ&7|)5?U8uktg&QV|9RBO)cQStE-gAtKZqC*q(@(39%gOBd;6!};*;=#d)ZYg_$ zyT{bgzgiFABd3T52al;ym!_o{4L{P`KCM@gyZODE)+N+-uRSFqQu4bytxKT^96YiN zL^*olF;R(#6nh5UlF$T>)WT_*NA6n7>A4W`$SX#mwVak>G&p!H8VDO9`KTu1Q+Z(b zr&`6MqL5-VIMSPHMMV9v_Xhr~pvUokzC=Wd^<-WvLldl`H(2`4%RIL+iq~DPJq1EX zGSgCwh96Sfu?G@X@vw>z#Zwznj0T6OR{a(pkDBHf{bmqfYRZ?ZgNR?3 z;uFFWrlc5sOhVTj{spLU4BOxs$~jfb_5U{3bF;+4vp7PE(FhxQ^2xEz(;oSQGyC5kUDWr}Q&zlkL;vEZ-#y-T_CK{L z+#)PX+6nQ93X#y`qYljoMaxr99LFpy>wodG9R`=be?!X%=5CtLO>?MTMyK!unj}XA zvHWG9*aWMPg4%!-*uLu9`%GXvIOJ4}2`mh&Y6MF`(}BnAnK;-g)?4#|+wzJLNlHCW z=z-@hO4AVVW6v+2vl3RZ6r+=cgK0lFg%JpgAIjY{&)l)qdiBx6_V3^PoL{vo9=Cnl z9JKx5q;=ccOOE>KXzzRXJlsdPXliYSA z_BZl7V#l_B)xlpIAHU_mcKuGjZa?!MH;xZ{TsuDh#H}7~5uS}m=y8pfyPXopvxQ@i zoxV5z_6zoHfBcDUEhCt_X+A;-mSS`YkD;k)uB!al_rh0C+!B<5`?2cI6E$oc4|(A; zRy`+K^*38zJAp2E?g((Zrtm{bvd>bm#L)-?U0U`0Kn!3>(mQYq#VU=@97Av!~?a*}8(a)K{Lg_avs~o{n(6rrC*QlnZd3{3sxA?(& zYd&bQykbO>8jw{3&s{-iIQww`{74*{!suk-VA>B(VFbeBhjKT~cF(&}=QqFq?aTZ7 z9rUL0zd!w{liObQ$@Z*^UonR5*Pi+>8h*m1f30CT%lo-E&V69lJ2(G_AVk;L^Mnzs zN!E7-(a7%)f?z2|BkZ|8ZPmWVe6V$^&iTT|v0EkK7U8D3SLKHzV2OmsHQM}uRd*#n zye2Xtg_S!H@PnmbyQ5P$)kMzSyRCE(ohsFQZdf%U|Z4l~w0#cj&||L0xh` zPW$Z%aM0jSYDKu20>mFP-0&w8gQTI=|#$HMja8B+(C#5rkIGL z1}(;}@ArJK`~E)X_w4?|%{lkydb`ha&bhDqI`IeYT-LxV^iR|Vl` zoIpF@oO&H4ZogMc#|1xg{{-4WANTU_j35`fVn>nWmu(N6ZBd@P*SsMXCo-r zX;>9+vU+<>KS21I1q9!Hs}5SFSaq;hp7SjX$0NEt-h007&o*s_=a3sw?BK_ch_MkN z&r4bbSs1|~-QN1X{O})e%+Gvu$I_cmSO@L1J9jK~*L-^2?0d)Wi2on6jpJyS@}Lpk z%W1TCl^v86f5o*YmZ3vL$QgGcy3_}2SLzt{3acs|I!HGiXGzDqU;e>(Pw926`uurI zsUwcZeGPsLJs% zfAWXlI|&5NU~F*)w%>Zm)){Pvj@YSk1`FfwqM8mTycdpd9&e(gMj%!$*J&Jw?mkwj z1B7~YW>uHb#Z}T1hlW+3TmQf=)4?-N%ffD@AAZIOw8IbTF6GHbc5uwU5!dj~zx0N2 z?&=tK)UiDW(bjYD2@zi(5Td8c>+Zpmv(lw}#|XcEoN(Q-57IVWh&Ibk8j zyeBXoG27-WRkrht)3T7WV$SGkRmLhj%=t3qYDNz~s5}0a$kRuYz!P-CC;w_(9^F2^ z?#L%GqpuNFTe*yb5u&FM;k#PPpzyT-2rRGW$x_YI-GWW3km9QxoRt`wjfsg)k1FO5Yfi(ywANJjDS@!9$iKu z>6KPxFGaWBOY*)F>*r4$#xcL)fp?6yt=}`g=)=Dk&-6#exH97R$X}7#{B6c=R3dI1 z5Td6;x|Gj}xITz~|M=bGWA>gq{=(P4F?ParmvXBS-pgsVr;yZJ^-m{Wxf_1q41Q}k zqbr=hR7g7Jwhzo;VYBMG0pYzM>BVopkCIBc#bV`hhx+m!Gf${jXIAld2T2(7{(gn% zh98^Zhjj3a)3UJZ{TRk7JmeWC&<;PSJ91WG+)yqD|4ap(qJ z%1t7!4+65TeCM7KEJ3f+H(xnHFSSQK@lg@`8!-XlH1xHZaO$|B5!4}qwCiiJ8tQPl zYHRN7p37U#MjqEQEgfBnv5st@Ekp;Q^ zuMcP3Z(6^#dvW`b-Lnr~KKtbpf3d^yU%T(J8IFf_L999CO5@tb&n`Yc780WQ9CVt`S`v72#=U)-@4HU8?ndb846E|_T4_nr`))i9=B&!+9W22J z;^~8Sd#c3~XOvG)$jbLxCty`d;!}+}^sKFNokkn_`lnjF0g%wM7H9Y@lH7b=!74qY z@Z2bo<O? zYgqH5?OI)$=K5DDmj&^*uRgo`+8{iNPPnA-Q#!5*!h1RGk#1I9C98JabN)E3vK7T? zw6&sm)xeJ=U`e#6L%R1PqFd6Bd?Ry0ZR#%Na_RVVvx;(Id(vv_jm)Df&$$w(_;hJi zL|1Efiq$e=rTB0JomQ-Lm0&!+zxGF84?l*z@>+6zTp3-0=U7XP)xK%2*ZLZi+FWDh zI_E+{G}mjLj;Hh4&yc_hFRaRua>8{tLaWHID%XK4ElFHaUTIaX%fb@;?yyRVcCIw` zI&y^CYuGi8Jtk-%kr_qMKe!Vux#B9Tv=SF9ydajfCJd`` zy%uuWOFDFwAiCiPS9o2AtYR#9*Lf*^i^S7>^?fT+rqxb&Xv7y5W zKeK?C^hEmcYWabvbMA;CwjpBX|+w-ms9qmp% zv?>Yj1xf8`5cl00E0;Ue2TA?C-3j&LJzO;J&S+!Idm^5L`{IeiJGJ+6S{CxjFdtn% z;{@96`4N>)^h1?Sak(E`D)T*hX@@&qkB)k_S<=Yu+qblKYhx#C? zuLO*|RVCDmZ(gEVL4`KPyeBXoQ5D8&D(~gAEM)Z-E6=J9KjQ@2`94=lRO#)DD!tvR z(qpWkJ>L3jRcTb|jkEreHIUSS%1qfy551SHmFH1sNkX-QRj$*}hgkKMvQf)f3CPW= zN%V?}MpiMZtr;ZzDDe+flTN73I!mXUAO9mi@~U>iB{f1dsjw>RH|uW#KILzPRg={Z zdCRedC0Xl=HpaYpep^&cs*1JtavD};mF7yT@{AK`XZ;;B6O)+H@6n8&amU;;=KXag zCT8^G%+)YoGC_2a#fdp!CA=4o=X^K(*}jT|@(fk3)6j=8?^l;1`$0n4k8x&L(95!e zb!ChB5)$wOmL#Ee=1wZT(vQpw^Q z%dku$??$*A$GUyra7 zaPrWGLw2JQ5N9w_s?4mbR#D?uX;vHdV;+Q7MrNuuoTDMBubPaN_DVpO)mCCfiK{)3 z)K-_GVxamVBI_(G4QpG~WK;|+)Zw&rXmu$GRc1mib+}x$Tb*Uqp;%>YWnCYFj_{nT zPBpr$vvficWzD5xC?Y*q6)WPNm6?bx^+8grvwX|D-$Sd;c>Ai+$JVay#;2S*E#=a% zYU8$h_udp%IRQ()vf<(x;^uVxYJ)iYxyQF42MZ6O12ZotTv92+SfyO2(QY$H==jKU zkMF%gBN#-NV~(o?`lLfEqpm}8*-KVcI&^40D9^W;=aeOHJH*QAmE#c+WiPh`?Y8 z2DeJl<(NZ9W({z>jbP*%CNZODo&nl%l_)bYN95JRtihZgDc5PV=W-d7vu^vrmUH?H z3*=^=0s5F*khL1~91rD7YA^Xw>6V#r&%Kw+mFLp&!t=jNKYl2_nQ_~%SbKQ)-E%IR z{nqm9k^L|~;*E0hx?i|s%S-XCiPmVjPCg_uhVe!w9ghUzy`0txuU6cX__m00(GyFs z1ifMfoU7AnYc<^cfQ}&cfl!+?{Aky1`On_-M+Z8bc75^QEDbF7(z$nl?#6|`rsA>CHPhn}Ynmy340O7Ju*@lVx;)2xBSilWs<)s@(I z2Nj{J$x1~19oku|SZUT-QitmLrdjvugxajXY=r5^+R92tg&^9hdrd!3(Fj>t>*#B= zS|!zbExr_qYC~2{LRMBvI^mL7(MUgFmG^QQZCvfBHf5b93DsHR4A*ESmsO0iN-Mmu zDu!bjIwUu1AS*2$t!i=<)t{`IlvR}FwCm&7152T!=<9)Le$xkZzg>VI z7z1Q((9S$le6JQnd_8cIz8WIu%U3YRq?Qy^7KR9ceS z9uQRrWaT~#upMK7y&BMNyDh{{2q(u*2;9*DvNCVzgiD%_mG^QQZRqQFTZo-0s#PKw zMakt33pn2PPKX^HKrCy223W-mq|?&DlJ30(|CGGl3`PnZNT6O2+=Z!AD zd(Gs&L)OpE-HBZ;@bAi5RFsa{PbEd<4IW21? z$qC4M>wmwpYgVmstKx|VtCE0KPN;1@B@I7d4LpbT+$qEfm(+Wng!ghIy?Q@-T5U#To{+cHp(xOHd2W8hFX}}7qA&(J1HN7{7!O@B@vEKlyq#%8uRAS_ z86-w-8F_|yqsO`|XFo9qoLSDn)@z+mugo(H3C*J-Gr_AvnTguV>6m}cT4o}$2DD09 z1DwgsMD67?XQ|YoTt@9Bxuj#3I*UqaRYynGpb~y&0m0g8&gf}X#tPS{asV@%-P@XQ z%;-l~y{yj~KnDn9CSbc0DT$eh(Ip%1+WXl)2T*%C4GS}`vC{KA;{@6*`=Jpm$}K@h zW(r^-XLPt0TGjx5MD}B^vLCgV{2(oT%1lI_VRXW_d$(ZBWp8E;NLv(0H$RlcslA4D z%QK)B6<0HAAtT=gg1Jfe)}z2W{n5r7KD6aegYaHXN4@wgtNf!XOgdCaUe7pzcKa3} zYUp{DpoShgvNj)bt!Ce@L?_fM>-2|&uEeP9r{}7=uf3dxRW0}Ge)yRMM61)MANoQ8 z*AK^5o-?zl=xWTPPJa|%L9k9AawCeY%^wmeX+FB%Ye=^`{Zr%nN8GQlZ&YMV@r6C} zxZi%~vs2qc%D%jJLcMa|s3D<@aO^b2=xV2_+RJHGEvP!d2|u%dP;~;nf5f$mJ)J<{ z+SLwCbuR<>hvUfHbKj`Z^Z$GOo_pkZ?s(ud^yRKovA5g6k38c9+F1v}ou(LF?KA~T zn41>CiUe831fBAi9tg>ruUqg6}`Pm(yss??0{|Se3Q%pz|9FSe5nk zrMMsJmEUFz3GE;dUvuy(Nvl$>)3B<2&5?er4?q0O0z%(r$f}~RQV^f~HUn|vHwKEX zzBfR0Qi(@MCOiTj$EH)F{c;#t6Am~MeumP z6VMCgv&<((o{D3R-0P6QnD^h9M8=EJMb6TDIgPgEUS$<>ebAmcM9`L7wDE}SApKBw z5WO;=I3zS4L#tA*bdZKsEdz-)ZQM(gd#z^{5XvVix<#2(L^Sh>b!_Ix1MB~ht=+3^ WZSAGFkyhMP-R6GynIX~giT@9Pr}@?Z literal 0 HcmV?d00001